summaryrefslogtreecommitdiff
path: root/apps/settings.c
diff options
context:
space:
mode:
Diffstat (limited to 'apps/settings.c')
-rw-r--r--apps/settings.c23
1 files changed, 22 insertions, 1 deletions
diff --git a/apps/settings.c b/apps/settings.c
index b573d72c97..d44fec1f0b 100644
--- a/apps/settings.c
+++ b/apps/settings.c
@@ -85,7 +85,7 @@ const char rec_base_directory[] = REC_BASE_DIR;
85#include "dsp.h" 85#include "dsp.h"
86#endif 86#endif
87 87
88#define CONFIG_BLOCK_VERSION 29 88#define CONFIG_BLOCK_VERSION 30
89#define CONFIG_BLOCK_SIZE 512 89#define CONFIG_BLOCK_SIZE 512
90#define RTC_BLOCK_SIZE 44 90#define RTC_BLOCK_SIZE 44
91 91
@@ -407,6 +407,7 @@ static const struct bit_entry hd_bits[] =
407 407
408#ifdef HAVE_RECORDING 408#ifdef HAVE_RECORDING
409 {1, S_O(rec_startup), false, "rec screen on startup", off_on }, 409 {1, S_O(rec_startup), false, "rec screen on startup", off_on },
410 {1, S_O(rec_monitor), true, "monitor recording", off_on },
410 411
411 /* values for the trigger */ 412 /* values for the trigger */
412 {8 | SIGNED, S_O(rec_start_thres), -35, "trigger start threshold", NULL}, 413 {8 | SIGNED, S_O(rec_start_thres), -35, "trigger start threshold", NULL},
@@ -450,6 +451,26 @@ static const struct bit_entry hd_bits[] =
450 {22, S_O(dircache_size), 0, NULL, NULL }, 451 {22, S_O(dircache_size), 0, NULL, NULL },
451#endif 452#endif
452 453
454#if defined(HAVE_UDA1380)
455 /* recording settings for iriver */
456 {4, S_O(rec_timesplit), 0, "rec timesplit", /* 0...15 */
457 "off,00:05,00:10,00:15,00:30,01:00,01:14,01:20,02:00,04:00,06:00,08:00,10:00,12:00,18:00,24:00" },
458 {1, S_O(rec_channels), 0, "rec channels", "stereo,mono" },
459 {4, S_O(rec_mic_gain), 4, "rec mic gain", NULL },
460 {1, S_O(rec_source), 0 /* 0=mic */, "rec source", "mic,line" },
461 {3, S_O(rec_frequency), 0, /* 0=44.1kHz */
462 "rec frequency", "44,48,32,22,24,16" },
463 {4, S_O(rec_left_gain), 2, /* 0dB */
464 "rec left gain", NULL }, /* 0...15 */
465 {4, S_O(rec_right_gain), 2, /* 0dB */
466 "rec right gain", NULL }, /* 0...15 */
467 {5, S_O(rec_prerecord_time), 0, "prerecording time", NULL }, /* 0...30 */
468 {1, S_O(rec_directory), 0, /* rec_base_directory */
469 "rec directory", REC_BASE_DIR ",current" },
470 {8|SIGNED, S_O(rec_adc_left_gain), 0, /* 0dB */ "adc left gain", NULL }, /* -128...48 */
471 {8|SIGNED, S_O(rec_adc_right_gain), 0, /* 0dB */ "adc right gain", NULL }, /* -128...48 */
472#endif
473
453 /* If values are just added to the end, no need to bump the version. */ 474 /* If values are just added to the end, no need to bump the version. */
454 /* new stuff to be added at the end */ 475 /* new stuff to be added at the end */
455 476