summaryrefslogtreecommitdiff
path: root/apps/plugins/test_disk.c
diff options
context:
space:
mode:
Diffstat (limited to 'apps/plugins/test_disk.c')
-rw-r--r--apps/plugins/test_disk.c4
1 files changed, 4 insertions, 0 deletions
diff --git a/apps/plugins/test_disk.c b/apps/plugins/test_disk.c
index 5799f4ce0b..45dc598fc2 100644
--- a/apps/plugins/test_disk.c
+++ b/apps/plugins/test_disk.c
@@ -114,9 +114,11 @@ static bool test_fs(void)
114 114
115 log_init(); 115 log_init();
116 log_text("test_disk WRITE&VERIFY", true); 116 log_text("test_disk WRITE&VERIFY", true);
117#ifndef SIMULATOR
117 rb->snprintf(text_buf, sizeof(text_buf), "CPU clock: %ld Hz", 118 rb->snprintf(text_buf, sizeof(text_buf), "CPU clock: %ld Hz",
118 *rb->cpu_frequency); 119 *rb->cpu_frequency);
119 log_text(text_buf, true); 120 log_text(text_buf, true);
121#endif
120 log_text("----------------------", true); 122 log_text("----------------------", true);
121 rb->snprintf(text_buf, sizeof text_buf, "Data size: %dKB", (TEST_SIZE>>10)); 123 rb->snprintf(text_buf, sizeof text_buf, "Data size: %dKB", (TEST_SIZE>>10));
122 log_text(text_buf, true); 124 log_text(text_buf, true);
@@ -297,9 +299,11 @@ static bool test_speed(void)
297 rb->memset(audiobuf, 'T', audiobuflen); 299 rb->memset(audiobuf, 'T', audiobuflen);
298 log_init(); 300 log_init();
299 log_text("test_disk SPEED TEST", true); 301 log_text("test_disk SPEED TEST", true);
302#ifndef SIMULATOR
300 rb->snprintf(text_buf, sizeof(text_buf), "CPU clock: %ld Hz", 303 rb->snprintf(text_buf, sizeof(text_buf), "CPU clock: %ld Hz",
301 *rb->cpu_frequency); 304 *rb->cpu_frequency);
302 log_text(text_buf, true); 305 log_text(text_buf, true);
306#endif
303 log_text("--------------------", true); 307 log_text("--------------------", true);
304 308
305 /* File creation speed */ 309 /* File creation speed */