summaryrefslogtreecommitdiff
path: root/apps/plugins/lib/gray_core.c
diff options
context:
space:
mode:
Diffstat (limited to 'apps/plugins/lib/gray_core.c')
-rw-r--r--apps/plugins/lib/gray_core.c3
1 files changed, 3 insertions, 0 deletions
diff --git a/apps/plugins/lib/gray_core.c b/apps/plugins/lib/gray_core.c
index f132d00495..d151250e69 100644
--- a/apps/plugins/lib/gray_core.c
+++ b/apps/plugins/lib/gray_core.c
@@ -233,6 +233,9 @@ void gray_show(bool enable)
233 _gray_rb->timer_register(1, NULL, CPU_FREQ / 67, 1, _timer_isr); 233 _gray_rb->timer_register(1, NULL, CPU_FREQ / 67, 1, _timer_isr);
234#elif CONFIG_LCD == LCD_S1D15E06 234#elif CONFIG_LCD == LCD_S1D15E06
235 _gray_rb->timer_register(1, NULL, CPU_FREQ / 70, 1, _timer_isr); 235 _gray_rb->timer_register(1, NULL, CPU_FREQ / 70, 1, _timer_isr);
236#elif CONFIG_LCD == LCD_IFP7XX
237 /* TODO: implement for iFP */
238 (void)_timer_isr;
236#endif 239#endif
237 _gray_rb->screen_dump_set_hook(gray_screendump_hook); 240 _gray_rb->screen_dump_set_hook(gray_screendump_hook);
238 } 241 }