summaryrefslogtreecommitdiff
path: root/apps/plugins/lib/gray_core.c
diff options
context:
space:
mode:
Diffstat (limited to 'apps/plugins/lib/gray_core.c')
-rw-r--r--apps/plugins/lib/gray_core.c4
1 files changed, 2 insertions, 2 deletions
diff --git a/apps/plugins/lib/gray_core.c b/apps/plugins/lib/gray_core.c
index d8dddb3240..e28d56e84d 100644
--- a/apps/plugins/lib/gray_core.c
+++ b/apps/plugins/lib/gray_core.c
@@ -40,9 +40,9 @@ struct _gray_info _gray_info; /* global info structure */
40#ifndef SIMULATOR 40#ifndef SIMULATOR
41short _gray_random_buffer; /* buffer for random number generator */ 41short _gray_random_buffer; /* buffer for random number generator */
42 42
43#if CONFIG_LCD == LCD_SSD1815 || CONFIG_LCD == LCD_IFP7XX 43#if CONFIG_LCD == LCD_SSD1815 || CONFIG_LCD == LCD_IFP7XX || CONFIG_LCD == LCD_MROBE100
44/* measured and interpolated curve */ 44/* measured and interpolated curve */
45/* TODO: check for iFP */ 45/* TODO: check for iFP & m:robe 100 */
46static const unsigned char lcdlinear[256] = { 46static const unsigned char lcdlinear[256] = {
47 0, 3, 5, 8, 11, 13, 16, 18, 47 0, 3, 5, 8, 11, 13, 16, 18,
48 21, 23, 26, 28, 31, 33, 36, 38, 48 21, 23, 26, 28, 31, 33, 36, 38,