summaryrefslogtreecommitdiff
path: root/apps/codecs/Tremor
diff options
context:
space:
mode:
authorMichael Giacomelli <giac2000@hotmail.com>2008-09-04 18:02:10 +0000
committerMichael Giacomelli <giac2000@hotmail.com>2008-09-04 18:02:10 +0000
commit46f85c4c547188d08fd90bad7734d38c654f13ea (patch)
treef868536dccac3a8d4047d11f29310e99b896b03b /apps/codecs/Tremor
parent6c608263d7e19ea9189e6812eed8fc29996b4ef2 (diff)
downloadrockbox-46f85c4c547188d08fd90bad7734d38c654f13ea.tar.gz
rockbox-46f85c4c547188d08fd90bad7734d38c654f13ea.zip
Combine the Vorbis, WMA and AAC IMDCT functions and put them into the codeclib. Combined IMDCT is now based on existing Tremor transform. Reduces CPU for 192k AAC by 21MHz on Coldfire, and 5MHz on PP5024. WMA and Vorbis should have no functional changes since they already used this code. Further optimization is possible and would benefit all 3 codecs.
git-svn-id: svn://svn.rockbox.org/rockbox/trunk@18412 a1c6a512-1295-4272-9138-f99709370657
Diffstat (limited to 'apps/codecs/Tremor')
-rw-r--r--apps/codecs/Tremor/SOURCES4
-rw-r--r--apps/codecs/Tremor/mapping0.c4
-rw-r--r--apps/codecs/Tremor/mdct.c522
-rw-r--r--apps/codecs/Tremor/mdct.h54
-rw-r--r--apps/codecs/Tremor/mdct_arm.S429
-rw-r--r--apps/codecs/Tremor/mdct_lookup.h540
6 files changed, 2 insertions, 1551 deletions
diff --git a/apps/codecs/Tremor/SOURCES b/apps/codecs/Tremor/SOURCES
index 9b8c05e340..c622699599 100644
--- a/apps/codecs/Tremor/SOURCES
+++ b/apps/codecs/Tremor/SOURCES
@@ -6,10 +6,6 @@ floor1.c
6framing.c 6framing.c
7info.c 7info.c
8mapping0.c 8mapping0.c
9mdct.c
10#ifdef CPU_ARM
11mdct_arm.S
12#endif
13registry.c 9registry.c
14res012.c 10res012.c
15sharedbook.c 11sharedbook.c
diff --git a/apps/codecs/Tremor/mapping0.c b/apps/codecs/Tremor/mapping0.c
index dde3088f29..6bcc717d86 100644
--- a/apps/codecs/Tremor/mapping0.c
+++ b/apps/codecs/Tremor/mapping0.c
@@ -21,7 +21,7 @@
21#include <math.h> 21#include <math.h>
22#include "ogg.h" 22#include "ogg.h"
23#include "ivorbiscodec.h" 23#include "ivorbiscodec.h"
24#include "mdct.h" 24#include <codecs/lib/codeclib.h>
25#include "codec_internal.h" 25#include "codec_internal.h"
26#include "codebook.h" 26#include "codebook.h"
27#include "window.h" 27#include "window.h"
@@ -303,7 +303,7 @@ static int mapping0_inverse(vorbis_block *vb,vorbis_look_mapping *l){
303 if(nonzero[i]) { 303 if(nonzero[i]) {
304 look->floor_func[submap]-> 304 look->floor_func[submap]->
305 inverse2(vb,look->floor_look[submap],floormemo[i],pcm); 305 inverse2(vb,look->floor_look[submap],floormemo[i],pcm);
306 mdct_backward(n, pcm, pcm); 306 mdct_backward(n, (int32_t*) pcm, (int32_t*) pcm);
307 /* window the data */ 307 /* window the data */
308 _vorbis_apply_window(pcm,b->window,ci->blocksizes,vb->lW,vb->W,vb->nW); 308 _vorbis_apply_window(pcm,b->window,ci->blocksizes,vb->lW,vb->W,vb->nW);
309 } 309 }
diff --git a/apps/codecs/Tremor/mdct.c b/apps/codecs/Tremor/mdct.c
deleted file mode 100644
index dce2a9d196..0000000000
--- a/apps/codecs/Tremor/mdct.c
+++ /dev/null
@@ -1,522 +0,0 @@
1/********************************************************************
2 * *
3 * THIS FILE IS PART OF THE OggVorbis 'TREMOR' CODEC SOURCE CODE. *
4 * *
5 * USE, DISTRIBUTION AND REPRODUCTION OF THIS LIBRARY SOURCE IS *
6 * GOVERNED BY A BSD-STYLE SOURCE LICENSE INCLUDED WITH THIS SOURCE *
7 * IN 'COPYING'. PLEASE READ THESE TERMS BEFORE DISTRIBUTING. *
8 * *
9 * THE OggVorbis 'TREMOR' SOURCE CODE IS (C) COPYRIGHT 1994-2002 *
10 * BY THE Xiph.Org FOUNDATION http://www.xiph.org/ *
11 * *
12 ********************************************************************
13
14 function: normalized modified discrete cosine transform
15 power of two length transform only [64 <= n ]
16 last mod: $Id$
17
18 Original algorithm adapted long ago from _The use of multirate filter
19 banks for coding of high quality digital audio_, by T. Sporer,
20 K. Brandenburg and B. Edler, collection of the European Signal
21 Processing Conference (EUSIPCO), Amsterdam, June 1992, Vol.1, pp
22 211-214
23
24 The below code implements an algorithm that no longer looks much like
25 that presented in the paper, but the basic structure remains if you
26 dig deep enough to see it.
27
28 This module DOES NOT INCLUDE code to generate/apply the window
29 function. Everybody has their own weird favorite including me... I
30 happen to like the properties of y=sin(.5PI*sin^2(x)), but others may
31 vehemently disagree.
32
33 ********************************************************************/
34
35#include "ivorbiscodec.h"
36#include "os.h"
37#include "misc.h"
38#include "mdct.h"
39#include "mdct_lookup.h"
40
41#if defined(CPU_ARM) && CONFIG_CPU != S3C2440
42/* C code is faster on S3C2440 */
43
44extern void mdct_butterfly_32(DATA_TYPE *x);
45extern void mdct_butterfly_generic_loop(DATA_TYPE *x1, DATA_TYPE *x2,
46 LOOKUP_T *T0, int step,
47 LOOKUP_T *Ttop);
48
49STIN void mdct_butterfly_generic(DATA_TYPE *x,int points, int step){
50 mdct_butterfly_generic_loop(x + points, x + (points>>1),
51 sincos_lookup0, step, sincos_lookup0+1024);
52}
53
54#else
55
56/* 8 point butterfly (in place) */
57STIN void mdct_butterfly_8(DATA_TYPE *x){
58 REG_TYPE r0 = x[4] + x[0];
59 REG_TYPE r1 = x[4] - x[0];
60 REG_TYPE r2 = x[5] + x[1];
61 REG_TYPE r3 = x[5] - x[1];
62 REG_TYPE r4 = x[6] + x[2];
63 REG_TYPE r5 = x[6] - x[2];
64 REG_TYPE r6 = x[7] + x[3];
65 REG_TYPE r7 = x[7] - x[3];
66
67 x[0] = r5 + r3;
68 x[1] = r7 - r1;
69 x[2] = r5 - r3;
70 x[3] = r7 + r1;
71 x[4] = r4 - r0;
72 x[5] = r6 - r2;
73 x[6] = r4 + r0;
74 x[7] = r6 + r2;
75 MB();
76}
77
78/* 16 point butterfly (in place, 4 register) */
79STIN void mdct_butterfly_16(DATA_TYPE *x){
80
81 REG_TYPE r0, r1;
82
83 r0 = x[ 0] - x[ 8]; x[ 8] += x[ 0];
84 r1 = x[ 1] - x[ 9]; x[ 9] += x[ 1];
85 x[ 0] = MULT31((r0 + r1) , cPI2_8);
86 x[ 1] = MULT31((r1 - r0) , cPI2_8);
87 MB();
88
89 r0 = x[10] - x[ 2]; x[10] += x[ 2];
90 r1 = x[ 3] - x[11]; x[11] += x[ 3];
91 x[ 2] = r1; x[ 3] = r0;
92 MB();
93
94 r0 = x[12] - x[ 4]; x[12] += x[ 4];
95 r1 = x[13] - x[ 5]; x[13] += x[ 5];
96 x[ 4] = MULT31((r0 - r1) , cPI2_8);
97 x[ 5] = MULT31((r0 + r1) , cPI2_8);
98 MB();
99
100 r0 = x[14] - x[ 6]; x[14] += x[ 6];
101 r1 = x[15] - x[ 7]; x[15] += x[ 7];
102 x[ 6] = r0; x[ 7] = r1;
103 MB();
104
105 mdct_butterfly_8(x);
106 mdct_butterfly_8(x+8);
107}
108
109/* 32 point butterfly (in place, 4 register) */
110STIN void mdct_butterfly_32(DATA_TYPE *x){
111
112 REG_TYPE r0, r1;
113
114 r0 = x[30] - x[14]; x[30] += x[14];
115 r1 = x[31] - x[15]; x[31] += x[15];
116 x[14] = r0; x[15] = r1;
117 MB();
118
119 r0 = x[28] - x[12]; x[28] += x[12];
120 r1 = x[29] - x[13]; x[29] += x[13];
121 XNPROD31( r0, r1, cPI1_8, cPI3_8, &x[12], &x[13] );
122 MB();
123
124 r0 = x[26] - x[10]; x[26] += x[10];
125 r1 = x[27] - x[11]; x[27] += x[11];
126 x[10] = MULT31((r0 - r1) , cPI2_8);
127 x[11] = MULT31((r0 + r1) , cPI2_8);
128 MB();
129
130 r0 = x[24] - x[ 8]; x[24] += x[ 8];
131 r1 = x[25] - x[ 9]; x[25] += x[ 9];
132 XNPROD31( r0, r1, cPI3_8, cPI1_8, &x[ 8], &x[ 9] );
133 MB();
134
135 r0 = x[22] - x[ 6]; x[22] += x[ 6];
136 r1 = x[ 7] - x[23]; x[23] += x[ 7];
137 x[ 6] = r1; x[ 7] = r0;
138 MB();
139
140 r0 = x[ 4] - x[20]; x[20] += x[ 4];
141 r1 = x[ 5] - x[21]; x[21] += x[ 5];
142 XPROD31 ( r0, r1, cPI3_8, cPI1_8, &x[ 4], &x[ 5] );
143 MB();
144
145 r0 = x[ 2] - x[18]; x[18] += x[ 2];
146 r1 = x[ 3] - x[19]; x[19] += x[ 3];
147 x[ 2] = MULT31((r1 + r0) , cPI2_8);
148 x[ 3] = MULT31((r1 - r0) , cPI2_8);
149 MB();
150
151 r0 = x[ 0] - x[16]; x[16] += x[ 0];
152 r1 = x[ 1] - x[17]; x[17] += x[ 1];
153 XPROD31 ( r0, r1, cPI1_8, cPI3_8, &x[ 0], &x[ 1] );
154 MB();
155
156 mdct_butterfly_16(x);
157 mdct_butterfly_16(x+16);
158}
159
160/* N/stage point generic N stage butterfly (in place, 4 register) */
161void mdct_butterfly_generic(DATA_TYPE *x,int points, int step)
162 ICODE_ATTR_TREMOR_MDCT;
163void mdct_butterfly_generic(DATA_TYPE *x,int points, int step){
164 LOOKUP_T *T = sincos_lookup0;
165 DATA_TYPE *x1 = x + points - 8;
166 DATA_TYPE *x2 = x + (points>>1) - 8;
167 REG_TYPE r0;
168 REG_TYPE r1;
169 REG_TYPE r2;
170 REG_TYPE r3;
171
172 do{
173 r0 = x1[6] - x2[6]; x1[6] += x2[6];
174 r1 = x2[7] - x1[7]; x1[7] += x2[7];
175 r2 = x1[4] - x2[4]; x1[4] += x2[4];
176 r3 = x2[5] - x1[5]; x1[5] += x2[5];
177 XPROD31( r1, r0, T[0], T[1], &x2[6], &x2[7] ); T+=step;
178 XPROD31( r3, r2, T[0], T[1], &x2[4], &x2[5] ); T+=step;
179
180 r0 = x1[2] - x2[2]; x1[2] += x2[2];
181 r1 = x2[3] - x1[3]; x1[3] += x2[3];
182 r2 = x1[0] - x2[0]; x1[0] += x2[0];
183 r3 = x2[1] - x1[1]; x1[1] += x2[1];
184 XPROD31( r1, r0, T[0], T[1], &x2[2], &x2[3] ); T+=step;
185 XPROD31( r3, r2, T[0], T[1], &x2[0], &x2[1] ); T+=step;
186
187 x1-=8; x2-=8;
188 }while(T<sincos_lookup0+1024);
189 do{
190 r0 = x1[6] - x2[6]; x1[6] += x2[6];
191 r1 = x1[7] - x2[7]; x1[7] += x2[7];
192 r2 = x1[4] - x2[4]; x1[4] += x2[4];
193 r3 = x1[5] - x2[5]; x1[5] += x2[5];
194 XNPROD31( r0, r1, T[0], T[1], &x2[6], &x2[7] ); T-=step;
195 XNPROD31( r2, r3, T[0], T[1], &x2[4], &x2[5] ); T-=step;
196
197 r0 = x1[2] - x2[2]; x1[2] += x2[2];
198 r1 = x1[3] - x2[3]; x1[3] += x2[3];
199 r2 = x1[0] - x2[0]; x1[0] += x2[0];
200 r3 = x1[1] - x2[1]; x1[1] += x2[1];
201 XNPROD31( r0, r1, T[0], T[1], &x2[2], &x2[3] ); T-=step;
202 XNPROD31( r2, r3, T[0], T[1], &x2[0], &x2[1] ); T-=step;
203
204 x1-=8; x2-=8;
205 }while(T>sincos_lookup0);
206 do{
207 r0 = x2[6] - x1[6]; x1[6] += x2[6];
208 r1 = x2[7] - x1[7]; x1[7] += x2[7];
209 r2 = x2[4] - x1[4]; x1[4] += x2[4];
210 r3 = x2[5] - x1[5]; x1[5] += x2[5];
211 XPROD31( r0, r1, T[0], T[1], &x2[6], &x2[7] ); T+=step;
212 XPROD31( r2, r3, T[0], T[1], &x2[4], &x2[5] ); T+=step;
213
214 r0 = x2[2] - x1[2]; x1[2] += x2[2];
215 r1 = x2[3] - x1[3]; x1[3] += x2[3];
216 r2 = x2[0] - x1[0]; x1[0] += x2[0];
217 r3 = x2[1] - x1[1]; x1[1] += x2[1];
218 XPROD31( r0, r1, T[0], T[1], &x2[2], &x2[3] ); T+=step;
219 XPROD31( r2, r3, T[0], T[1], &x2[0], &x2[1] ); T+=step;
220
221 x1-=8; x2-=8;
222 }while(T<sincos_lookup0+1024);
223 do{
224 r0 = x1[6] - x2[6]; x1[6] += x2[6];
225 r1 = x2[7] - x1[7]; x1[7] += x2[7];
226 r2 = x1[4] - x2[4]; x1[4] += x2[4];
227 r3 = x2[5] - x1[5]; x1[5] += x2[5];
228 XNPROD31( r1, r0, T[0], T[1], &x2[6], &x2[7] ); T-=step;
229 XNPROD31( r3, r2, T[0], T[1], &x2[4], &x2[5] ); T-=step;
230
231 r0 = x1[2] - x2[2]; x1[2] += x2[2];
232 r1 = x2[3] - x1[3]; x1[3] += x2[3];
233 r2 = x1[0] - x2[0]; x1[0] += x2[0];
234 r3 = x2[1] - x1[1]; x1[1] += x2[1];
235 XNPROD31( r1, r0, T[0], T[1], &x2[2], &x2[3] ); T-=step;
236 XNPROD31( r3, r2, T[0], T[1], &x2[0], &x2[1] ); T-=step;
237
238 x1-=8; x2-=8;
239 }while(T>sincos_lookup0);
240}
241
242#endif /* CPU_ARM */
243
244STIN void mdct_butterflies(DATA_TYPE *x,int points,int shift) {
245
246 int stages=8-shift;
247 int i,j;
248
249 for(i=0;--stages>0;i++){
250 for(j=0;j<(1<<i);j++)
251 mdct_butterfly_generic(x+(points>>i)*j,points>>i,4<<(i+shift));
252 }
253
254 for(j=0;j<points;j+=32)
255 mdct_butterfly_32(x+j);
256}
257
258
259static const unsigned char bitrev[16] ICONST_ATTR =
260 {0,8,4,12,2,10,6,14,1,9,5,13,3,11,7,15};
261
262STIN int bitrev12(int x){
263 return bitrev[x>>8]|(bitrev[(x&0x0f0)>>4]<<4)|(((int)bitrev[x&0x00f])<<8);
264}
265
266STIN void mdct_bitreverse(DATA_TYPE *x,int n,int step,int shift) {
267
268 int bit = 0;
269 DATA_TYPE *w0 = x;
270 DATA_TYPE *w1 = x = w0+(n>>1);
271 LOOKUP_T *T = (step>=4)?(sincos_lookup0+(step>>1)):sincos_lookup1;
272 LOOKUP_T *Ttop = T+1024;
273 REG_TYPE r2;
274
275 do{
276 REG_TYPE r3 = bitrev12(bit++);
277 DATA_TYPE *x0 = x + ((r3 ^ 0xfff)>>shift) -1;
278 DATA_TYPE *x1 = x + (r3>>shift);
279
280 REG_TYPE r0 = x0[0] + x1[0];
281 REG_TYPE r1 = x1[1] - x0[1];
282
283 XPROD32( r0, r1, T[1], T[0], r2, r3 ); T+=step;
284
285 w1 -= 4;
286
287 r0 = (x0[1] + x1[1])>>1;
288 r1 = (x0[0] - x1[0])>>1;
289 w0[0] = r0 + r2;
290 w0[1] = r1 + r3;
291 w1[2] = r0 - r2;
292 w1[3] = r3 - r1;
293
294 r3 = bitrev12(bit++);
295 x0 = x + ((r3 ^ 0xfff)>>shift) -1;
296 x1 = x + (r3>>shift);
297
298 r0 = x0[0] + x1[0];
299 r1 = x1[1] - x0[1];
300
301 XPROD32( r0, r1, T[1], T[0], r2, r3 ); T+=step;
302
303 r0 = (x0[1] + x1[1])>>1;
304 r1 = (x0[0] - x1[0])>>1;
305 w0[2] = r0 + r2;
306 w0[3] = r1 + r3;
307 w1[0] = r0 - r2;
308 w1[1] = r3 - r1;
309
310 w0 += 4;
311 }while(T<Ttop);
312 do{
313 REG_TYPE r3 = bitrev12(bit++);
314 DATA_TYPE *x0 = x + ((r3 ^ 0xfff)>>shift) -1;
315 DATA_TYPE *x1 = x + (r3>>shift);
316
317 REG_TYPE r0 = x0[0] + x1[0];
318 REG_TYPE r1 = x1[1] - x0[1];
319
320 T-=step; XPROD32( r0, r1, T[0], T[1], r2, r3 );
321
322 w1 -= 4;
323
324 r0 = (x0[1] + x1[1])>>1;
325 r1 = (x0[0] - x1[0])>>1;
326 w0[0] = r0 + r2;
327 w0[1] = r1 + r3;
328 w1[2] = r0 - r2;
329 w1[3] = r3 - r1;
330
331 r3 = bitrev12(bit++);
332 x0 = x + ((r3 ^ 0xfff)>>shift) -1;
333 x1 = x + (r3>>shift);
334
335 r0 = x0[0] + x1[0];
336 r1 = x1[1] - x0[1];
337
338 T-=step; XPROD32( r0, r1, T[0], T[1], r2, r3 );
339
340 r0 = (x0[1] + x1[1])>>1;
341 r1 = (x0[0] - x1[0])>>1;
342 w0[2] = r0 + r2;
343 w0[3] = r1 + r3;
344 w1[0] = r0 - r2;
345 w1[1] = r3 - r1;
346
347 w0 += 4;
348 }while(w0<w1);
349}
350
351
352void mdct_backward(int n, DATA_TYPE *in, DATA_TYPE *out)
353 ICODE_ATTR_TREMOR_MDCT;
354void mdct_backward(int n, DATA_TYPE *in, DATA_TYPE *out) {
355 int n2=n>>1;
356 int n4=n>>2;
357 DATA_TYPE *iX;
358 DATA_TYPE *oX;
359 LOOKUP_T *T;
360 LOOKUP_T *V;
361 int shift;
362 int step;
363
364 for (shift=6;!(n&(1<<shift));shift++);
365 shift=13-shift;
366 step=2<<shift;
367
368 /* rotate */
369
370 iX = in+n2-7;
371 oX = out+n2+n4;
372 T = sincos_lookup0;
373
374 do{
375 oX-=4;
376 XPROD31( iX[4], iX[6], T[0], T[1], &oX[2], &oX[3] ); T+=step;
377 XPROD31( iX[0], iX[2], T[0], T[1], &oX[0], &oX[1] ); T+=step;
378 iX-=8;
379 }while(iX>=in+n4);
380 do{
381 oX-=4;
382 XPROD31( iX[4], iX[6], T[1], T[0], &oX[2], &oX[3] ); T-=step;
383 XPROD31( iX[0], iX[2], T[1], T[0], &oX[0], &oX[1] ); T-=step;
384 iX-=8;
385 }while(iX>=in);
386
387 iX = in+n2-8;
388 oX = out+n2+n4;
389 T = sincos_lookup0;
390
391 do{
392 T+=step; XNPROD31( iX[6], iX[4], T[0], T[1], &oX[0], &oX[1] );
393 T+=step; XNPROD31( iX[2], iX[0], T[0], T[1], &oX[2], &oX[3] );
394 iX-=8;
395 oX+=4;
396 }while(iX>=in+n4);
397 do{
398 T-=step; XNPROD31( iX[6], iX[4], T[1], T[0], &oX[0], &oX[1] );
399 T-=step; XNPROD31( iX[2], iX[0], T[1], T[0], &oX[2], &oX[3] );
400 iX-=8;
401 oX+=4;
402 }while(iX>=in);
403
404 mdct_butterflies(out+n2,n2,shift);
405 mdct_bitreverse(out,n,step,shift);
406 /* rotate + window */
407
408 step>>=2;
409 {
410 DATA_TYPE *oX1=out+n2+n4;
411 DATA_TYPE *oX2=out+n2+n4;
412 DATA_TYPE *iX =out;
413
414 switch(step) {
415 default: {
416 T=(step>=4)?(sincos_lookup0+(step>>1)):sincos_lookup1;
417 do{
418 oX1-=4;
419 XPROD31( iX[0], -iX[1], T[0], T[1], &oX1[3], &oX2[0] ); T+=step;
420 XPROD31( iX[2], -iX[3], T[0], T[1], &oX1[2], &oX2[1] ); T+=step;
421 XPROD31( iX[4], -iX[5], T[0], T[1], &oX1[1], &oX2[2] ); T+=step;
422 XPROD31( iX[6], -iX[7], T[0], T[1], &oX1[0], &oX2[3] ); T+=step;
423 oX2+=4;
424 iX+=8;
425 }while(iX<oX1);
426 break;
427 }
428
429 case 1: {
430 /* linear interpolation between table values: offset=0.5, step=1 */
431 REG_TYPE t0,t1,v0,v1;
432 T = sincos_lookup0;
433 V = sincos_lookup1;
434 t0 = (*T++)>>1;
435 t1 = (*T++)>>1;
436 do{
437 oX1-=4;
438
439 t0 += (v0 = (*V++)>>1);
440 t1 += (v1 = (*V++)>>1);
441 XPROD31( iX[0], -iX[1], t0, t1, &oX1[3], &oX2[0] );
442 v0 += (t0 = (*T++)>>1);
443 v1 += (t1 = (*T++)>>1);
444 XPROD31( iX[2], -iX[3], v0, v1, &oX1[2], &oX2[1] );
445 t0 += (v0 = (*V++)>>1);
446 t1 += (v1 = (*V++)>>1);
447 XPROD31( iX[4], -iX[5], t0, t1, &oX1[1], &oX2[2] );
448 v0 += (t0 = (*T++)>>1);
449 v1 += (t1 = (*T++)>>1);
450 XPROD31( iX[6], -iX[7], v0, v1, &oX1[0], &oX2[3] );
451
452 oX2+=4;
453 iX+=8;
454 }while(iX<oX1);
455 break;
456 }
457
458 case 0: {
459 /* linear interpolation between table values: offset=0.25, step=0.5 */
460 REG_TYPE t0,t1,v0,v1,q0,q1;
461 T = sincos_lookup0;
462 V = sincos_lookup1;
463 t0 = *T++;
464 t1 = *T++;
465 do{
466 oX1-=4;
467
468 v0 = *V++;
469 v1 = *V++;
470 t0 += (q0 = (v0-t0)>>2);
471 t1 += (q1 = (v1-t1)>>2);
472 XPROD31( iX[0], -iX[1], t0, t1, &oX1[3], &oX2[0] );
473 t0 = v0-q0;
474 t1 = v1-q1;
475 XPROD31( iX[2], -iX[3], t0, t1, &oX1[2], &oX2[1] );
476
477 t0 = *T++;
478 t1 = *T++;
479 v0 += (q0 = (t0-v0)>>2);
480 v1 += (q1 = (t1-v1)>>2);
481 XPROD31( iX[4], -iX[5], v0, v1, &oX1[1], &oX2[2] );
482 v0 = t0-q0;
483 v1 = t1-q1;
484 XPROD31( iX[6], -iX[7], v0, v1, &oX1[0], &oX2[3] );
485
486 oX2+=4;
487 iX+=8;
488 }while(iX<oX1);
489 break;
490 }
491 }
492
493 iX=out+n2+n4;
494 oX1=out+n4;
495 oX2=oX1;
496
497 do{
498 oX1-=4;
499 iX-=4;
500
501 oX2[0] = -(oX1[3] = iX[3]);
502 oX2[1] = -(oX1[2] = iX[2]);
503 oX2[2] = -(oX1[1] = iX[1]);
504 oX2[3] = -(oX1[0] = iX[0]);
505
506 oX2+=4;
507 }while(oX2<iX);
508
509 iX=out+n2+n4;
510 oX1=out+n2+n4;
511 oX2=out+n2;
512
513 do{
514 oX1-=4;
515 oX1[0]= iX[3];
516 oX1[1]= iX[2];
517 oX1[2]= iX[1];
518 oX1[3]= iX[0];
519 iX+=4;
520 }while(oX1>oX2);
521 }
522}
diff --git a/apps/codecs/Tremor/mdct.h b/apps/codecs/Tremor/mdct.h
deleted file mode 100644
index bdfe0e7304..0000000000
--- a/apps/codecs/Tremor/mdct.h
+++ /dev/null
@@ -1,54 +0,0 @@
1/********************************************************************
2 * *
3 * THIS FILE IS PART OF THE OggVorbis 'TREMOR' CODEC SOURCE CODE. *
4 * *
5 * USE, DISTRIBUTION AND REPRODUCTION OF THIS LIBRARY SOURCE IS *
6 * GOVERNED BY A BSD-STYLE SOURCE LICENSE INCLUDED WITH THIS SOURCE *
7 * IN 'COPYING'. PLEASE READ THESE TERMS BEFORE DISTRIBUTING. *
8 * *
9 * THE OggVorbis 'TREMOR' SOURCE CODE IS (C) COPYRIGHT 1994-2002 *
10 * BY THE Xiph.Org FOUNDATION http://www.xiph.org/ *
11 * *
12 ********************************************************************
13
14 function: modified discrete cosine transform prototypes
15
16 ********************************************************************/
17
18#ifndef _OGG_mdct_H_
19#define _OGG_mdct_H_
20
21#include "ivorbiscodec.h"
22#include "misc.h"
23
24#define DATA_TYPE ogg_int32_t
25#define REG_TYPE register ogg_int32_t
26
27#ifdef _LOW_ACCURACY_
28#define cPI3_8 (0x0062)
29#define cPI2_8 (0x00b5)
30#define cPI1_8 (0x00ed)
31#else
32#define cPI3_8 (0x30fbc54d)
33#define cPI2_8 (0x5a82799a)
34#define cPI1_8 (0x7641af3d)
35#endif
36
37//extern void mdct_forward(int n, DATA_TYPE *in, DATA_TYPE *out);
38extern void mdct_backward(int n, DATA_TYPE *in, DATA_TYPE *out);
39//extern void mdct_bitreverse(DATA_TYPE *x,int n,int step,int shift);
40//extern void mdct_butterflies(DATA_TYPE *x,int points,int shift);
41
42#endif
43
44
45
46
47
48
49
50
51
52
53
54
diff --git a/apps/codecs/Tremor/mdct_arm.S b/apps/codecs/Tremor/mdct_arm.S
deleted file mode 100644
index f7858f2e4d..0000000000
--- a/apps/codecs/Tremor/mdct_arm.S
+++ /dev/null
@@ -1,429 +0,0 @@
1/***************************************************************************
2 * __________ __ ___.
3 * Open \______ \ ____ ____ | | _\_ |__ _______ ___
4 * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ /
5 * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < <
6 * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \
7 * \/ \/ \/ \/ \/
8 * $Id: $
9 *
10 * Copyright (C) 2007 by Tomasz Malesinski
11 *
12 * This program is free software; you can redistribute it and/or
13 * modify it under the terms of the GNU General Public License
14 * as published by the Free Software Foundation; either version 2
15 * of the License, or (at your option) any later version.
16 *
17 * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY
18 * KIND, either express or implied.
19 *
20 ****************************************************************************/
21
22#include "config.h"
23/* Codecs should not normally do this, but we need to check a macro, and
24 * codecs.h would confuse the assembler. */
25
26#define cPI3_8 (0x30fbc54d)
27#define cPI2_8 (0x5a82799a)
28#define cPI1_8 (0x7641af3d)
29
30#ifdef USE_IRAM
31 .section .icode,"ax",%progbits
32#else
33 .text
34#endif
35 .align
36
37 .global mdct_butterfly_32
38 .global mdct_butterfly_generic_loop
39
40mdct_butterfly_8:
41 add r9, r5, r1 @ x4 + x0
42 sub r5, r5, r1 @ x4 - x0
43 add r7, r6, r2 @ x5 + x1
44 sub r6, r6, r2 @ x5 - x1
45 add r8, r10, r3 @ x6 + x2
46 sub r10, r10, r3 @ x6 - x2
47 add r12, r11, r4 @ x7 + x3
48 sub r11, r11, r4 @ x7 - x3
49
50 add r1, r10, r6 @ y0 = (x6 - x2) + (x5 - x1)
51 sub r2, r11, r5 @ y1 = (x7 - x3) - (x4 - x0)
52 sub r3, r10, r6 @ y2 = (x6 - x2) - (x5 - x1)
53 add r4, r11, r5 @ y3 = (x7 - x3) + (x4 - x0)
54 sub r5, r8, r9 @ y4 = (x6 + x2) - (x4 + x0)
55 sub r6, r12, r7 @ y5 = (x7 + x3) - (x5 + x1)
56 add r10, r8, r9 @ y6 = (x6 + x2) + (x4 + x0)
57 add r11, r12, r7 @ y7 = (x7 + x3) + (x5 + x1)
58 stmia r0, {r1, r2, r3, r4, r5, r6, r10, r11}
59
60 mov pc, lr
61
62mdct_butterfly_16:
63 str lr, [sp, #-4]!
64 add r1, r0, #8*4
65
66 ldmia r0, {r2, r3, r4, r5}
67 ldmia r1, {r6, r7, r8, r9}
68 add r6, r6, r2 @ y8 = x8 + x0
69 rsb r2, r6, r2, asl #1 @ x0 - x8
70 add r7, r7, r3 @ y9 = x9 + x1
71 rsb r3, r7, r3, asl #1 @ x1 - x9
72 add r8, r8, r4 @ y10 = x10 + x2
73 sub r11, r8, r4, asl #1 @ x10 - x2
74 add r9, r9, r5 @ y11 = x11 + x3
75 rsb r10, r9, r5, asl #1 @ x3 - x11
76
77 stmia r1!, {r6, r7, r8, r9}
78
79 add r2, r2, r3 @ (x0 - x8) + (x1 - x9)
80 rsb r3, r2, r3, asl #1 @ (x1 - x9) - (x0 - x8)
81
82 ldr r12, =cPI2_8
83 smull r8, r5, r2, r12
84 mov r5, r5, asl #1
85 smull r8, r6, r3, r12
86 mov r6, r6, asl #1
87
88 stmia r0!, {r5, r6, r10, r11}
89
90 ldmia r0, {r2, r3, r4, r5}
91 ldmia r1, {r6, r7, r8, r9}
92 add r6, r6, r2 @ y12 = x12 + x4
93 sub r2, r6, r2, asl #1 @ x12 - x4
94 add r7, r7, r3 @ y13 = x13 + x5
95 sub r3, r7, r3, asl #1 @ x13 - x5
96 add r8, r8, r4 @ y10 = x14 + x6
97 sub r10, r8, r4, asl #1 @ x14 - x6
98 add r9, r9, r5 @ y11 = x15 + x7
99 sub r11, r9, r5, asl #1 @ x15 - x7
100
101 stmia r1, {r6, r7, r8, r9}
102
103 sub r2, r2, r3 @ (x12 - x4) - (x13 - x5)
104 add r3, r2, r3, asl #1 @ (x12 - x4) + (x13 - x5)
105
106 smull r8, r5, r2, r12
107 mov r5, r5, asl #1
108 smull r8, r6, r3, r12
109 mov r6, r6, asl #1
110 @ no stmia here, r5, r6, r10, r11 are passed to mdct_butterfly_8
111
112 sub r0, r0, #4*4
113 ldmia r0, {r1, r2, r3, r4}
114 bl mdct_butterfly_8
115 add r0, r0, #8*4
116 ldmia r0, {r1, r2, r3, r4, r5, r6, r10, r11}
117 bl mdct_butterfly_8
118
119 ldr pc, [sp], #4
120
121mdct_butterfly_32:
122 stmdb sp!, {r4, r5, r6, r7, r8, r9, r10, r11, r12, lr}
123
124 add r1, r0, #16*4
125
126 ldmia r0, {r2, r3, r4, r5}
127 ldmia r1, {r6, r7, r8, r9}
128 add r6, r6, r2 @ y16 = x16 + x0
129 rsb r2, r6, r2, asl #1 @ x0 - x16
130 add r7, r7, r3 @ y17 = x17 + x1
131 rsb r3, r7, r3, asl #1 @ x1 - x17
132 add r8, r8, r4 @ y18 = x18 + x2
133 rsb r4, r8, r4, asl #1 @ x2 - x18
134 add r9, r9, r5 @ y19 = x19 + x3
135 rsb r5, r9, r5, asl #1 @ x3 - x19
136
137 stmia r1!, {r6, r7, r8, r9}
138
139 ldr r12, =cPI1_8
140 ldr lr, =cPI3_8
141 smull r10, r6, r2, r12
142 smlal r10, r6, r3, lr
143 rsb r2, r2, #0
144 smull r10, r7, r3, r12
145 smlal r10, r7, r2, lr
146 mov r6, r6, asl #1
147 mov r7, r7, asl #1
148
149 add r4, r4, r5 @ (x3 - x19) + (x2 - x18)
150 rsb r5, r4, r5, asl #1 @ (x3 - x19) - (x2 - x18)
151
152 ldr r11, =cPI2_8
153 smull r10, r8, r4, r11
154 mov r8, r8, asl #1
155 smull r10, r9, r5, r11
156 mov r9, r9, asl #1
157
158 stmia r0!, {r6, r7, r8, r9}
159
160 ldmia r0, {r2, r3, r4, r5}
161 ldmia r1, {r6, r7, r8, r9}
162 add r6, r6, r2 @ y20 = x20 + x4
163 rsb r2, r6, r2, asl #1 @ x4 - x20
164 add r7, r7, r3 @ y21 = x21 + x5
165 rsb r3, r7, r3, asl #1 @ x5 - x21
166 add r8, r8, r4 @ y22 = x22 + x6
167 sub r4, r8, r4, asl #1 @ x22 - x6
168 add r9, r9, r5 @ y23 = x23 + x7
169 rsb r5, r9, r5, asl #1 @ x7 - x23
170
171 stmia r1!, {r6, r7, r8, r9}
172
173 smull r10, r6, r2, lr
174 smlal r10, r6, r3, r12
175 rsb r2, r2, #0
176 smull r10, r7, r3, lr
177 smlal r10, r7, r2, r12
178 mov r6, r6, asl #1
179 mov r7, r7, asl #1
180
181 mov r8, r5
182 mov r9, r4
183 stmia r0!, {r6, r7, r8, r9}
184
185 ldmia r0, {r2, r3, r4, r5}
186 ldmia r1, {r6, r7, r8, r9}
187 add r6, r6, r2 @ y24 = x24 + x8
188 sub r2, r6, r2, asl #1 @ x24 - x8
189 add r7, r7, r3 @ y25 = x25 + x9
190 sub r3, r7, r3, asl #1 @ x25 - x9
191 add r8, r8, r4 @ y26 = x26 + x10
192 sub r4, r8, r4, asl #1 @ x26 - x10
193 add r9, r9, r5 @ y27 = x27 + x11
194 sub r5, r9, r5, asl #1 @ x27 - x11
195
196 stmia r1!, {r6, r7, r8, r9}
197
198 smull r10, r7, r2, r12
199 smlal r10, r7, r3, lr
200 rsb r3, r3, #0
201 smull r10, r6, r3, r12
202 smlal r10, r6, r2, lr
203 mov r6, r6, asl #1
204 mov r7, r7, asl #1
205
206 sub r4, r4, r5 @ (x26 - x10) - (x27 - x11)
207 add r5, r4, r5, asl #1 @ (x26 - x10) + (x27 - x11)
208
209 ldr r11, =cPI2_8
210 smull r10, r8, r4, r11
211 mov r8, r8, asl #1
212 smull r10, r9, r5, r11
213 mov r9, r9, asl #1
214
215 stmia r0!, {r6, r7, r8, r9}
216
217 ldmia r0, {r2, r3, r4, r5}
218 ldmia r1, {r6, r7, r8, r9}
219 add r6, r6, r2 @ y28 = x28 + x12
220 sub r2, r6, r2, asl #1 @ x28 - x12
221 add r7, r7, r3 @ y29 = x29 + x13
222 sub r3, r7, r3, asl #1 @ x29 - x13
223 add r8, r8, r4 @ y30 = x30 + x14
224 sub r4, r8, r4, asl #1 @ x30 - x14
225 add r9, r9, r5 @ y31 = x31 + x15
226 sub r5, r9, r5, asl #1 @ x31 - x15
227
228 stmia r1, {r6, r7, r8, r9}
229
230 smull r10, r7, r2, lr
231 smlal r10, r7, r3, r12
232 rsb r3, r3, #0
233 smull r10, r6, r3, lr
234 smlal r10, r6, r2, r12
235 mov r6, r6, asl #1
236 mov r7, r7, asl #1
237
238 mov r8, r4
239 mov r9, r5
240 stmia r0, {r6, r7, r8, r9}
241
242 sub r0, r0, #12*4
243 str r0, [sp, #-4]!
244 bl mdct_butterfly_16
245
246 ldr r0, [sp], #4
247 add r0, r0, #16*4
248 bl mdct_butterfly_16
249
250 ldmia sp!, {r4, r5, r6, r7, r8, r9, r10, r11, r12, pc}
251
252 @ mdct_butterfly_generic_loop(x1, x2, T0, step, Ttop)
253mdct_butterfly_generic_loop:
254 stmdb sp!, {r4, r5, r6, r7, r8, r9, r10, r11, r12, lr}
255 str r2, [sp, #-4]
256 ldr r4, [sp, #40]
2571:
258 ldmdb r0, {r6, r7, r8, r9}
259 ldmdb r1, {r10, r11, r12, r14}
260
261 add r6, r6, r10
262 sub r10, r6, r10, asl #1
263 add r7, r7, r11
264 rsb r11, r7, r11, asl #1
265 add r8, r8, r12
266 sub r12, r8, r12, asl #1
267 add r9, r9, r14
268 rsb r14, r9, r14, asl #1
269
270 stmdb r0!, {r6, r7, r8, r9}
271
272 ldmia r2, {r6, r7}
273 smull r5, r8, r14, r6
274 smlal r5, r8, r12, r7
275 rsb r14, r14, #0
276 smull r5, r9, r12, r6
277 smlal r5, r9, r14, r7
278
279 mov r8, r8, asl #1
280 mov r9, r9, asl #1
281 stmdb r1!, {r8, r9}
282 add r2, r2, r3, asl #2
283
284 ldmia r2, {r6, r7}
285 smull r5, r8, r11, r6
286 smlal r5, r8, r10, r7
287 rsb r11, r11, #0
288 smull r5, r9, r10, r6
289 smlal r5, r9, r11, r7
290
291 mov r8, r8, asl #1
292 mov r9, r9, asl #1
293 stmdb r1!, {r8, r9}
294 add r2, r2, r3, asl #2
295
296 cmp r2, r4
297 blo 1b
298
299 ldr r4, [sp, #-4]
3001:
301 ldmdb r0, {r6, r7, r8, r9}
302 ldmdb r1, {r10, r11, r12, r14}
303
304 add r6, r6, r10
305 sub r10, r6, r10, asl #1
306 add r7, r7, r11
307 sub r11, r7, r11, asl #1
308 add r8, r8, r12
309 sub r12, r8, r12, asl #1
310 add r9, r9, r14
311 sub r14, r9, r14, asl #1
312
313 stmdb r0!, {r6, r7, r8, r9}
314
315 ldmia r2, {r6, r7}
316 smull r5, r9, r14, r6
317 smlal r5, r9, r12, r7
318 rsb r14, r14, #0
319 smull r5, r8, r12, r6
320 smlal r5, r8, r14, r7
321
322 mov r8, r8, asl #1
323 mov r9, r9, asl #1
324 stmdb r1!, {r8, r9}
325 sub r2, r2, r3, asl #2
326
327 ldmia r2, {r6, r7}
328 smull r5, r9, r11, r6
329 smlal r5, r9, r10, r7
330 rsb r11, r11, #0
331 smull r5, r8, r10, r6
332 smlal r5, r8, r11, r7
333
334 mov r8, r8, asl #1
335 mov r9, r9, asl #1
336 stmdb r1!, {r8, r9}
337 sub r2, r2, r3, asl #2
338
339 cmp r2, r4
340 bhi 1b
341
342 ldr r4, [sp, #40]
3431:
344 ldmdb r0, {r6, r7, r8, r9}
345 ldmdb r1, {r10, r11, r12, r14}
346
347 add r6, r6, r10
348 rsb r10, r6, r10, asl #1
349 add r7, r7, r11
350 rsb r11, r7, r11, asl #1
351 add r8, r8, r12
352 rsb r12, r8, r12, asl #1
353 add r9, r9, r14
354 rsb r14, r9, r14, asl #1
355
356 stmdb r0!, {r6, r7, r8, r9}
357
358 ldmia r2, {r6, r7}
359 smull r5, r8, r12, r6
360 smlal r5, r8, r14, r7
361 rsb r12, r12, #0
362 smull r5, r9, r14, r6
363 smlal r5, r9, r12, r7
364
365 mov r8, r8, asl #1
366 mov r9, r9, asl #1
367 stmdb r1!, {r8, r9}
368 add r2, r2, r3, asl #2
369
370 ldmia r2, {r6, r7}
371 smull r5, r8, r10, r6
372 smlal r5, r8, r11, r7
373 rsb r10, r10, #0
374 smull r5, r9, r11, r6
375 smlal r5, r9, r10, r7
376
377 mov r8, r8, asl #1
378 mov r9, r9, asl #1
379 stmdb r1!, {r8, r9}
380 add r2, r2, r3, asl #2
381
382 cmp r2, r4
383 blo 1b
384
385 ldr r4, [sp, #-4]
3861:
387 ldmdb r0, {r6, r7, r8, r9}
388 ldmdb r1, {r10, r11, r12, r14}
389
390 add r6, r6, r10
391 sub r10, r6, r10, asl #1
392 add r7, r7, r11
393 rsb r11, r7, r11, asl #1
394 add r8, r8, r12
395 sub r12, r8, r12, asl #1
396 add r9, r9, r14
397 rsb r14, r9, r14, asl #1
398
399 stmdb r0!, {r6, r7, r8, r9}
400
401 ldmia r2, {r6, r7}
402 smull r5, r9, r12, r6
403 smlal r5, r9, r14, r7
404 rsb r12, r12, #0
405 smull r5, r8, r14, r6
406 smlal r5, r8, r12, r7
407
408 mov r8, r8, asl #1
409 mov r9, r9, asl #1
410 stmdb r1!, {r8, r9}
411 sub r2, r2, r3, asl #2
412
413 ldmia r2, {r6, r7}
414 smull r5, r9, r10, r6
415 smlal r5, r9, r11, r7
416 rsb r10, r10, #0
417 smull r5, r8, r11, r6
418 smlal r5, r8, r10, r7
419
420 mov r8, r8, asl #1
421 mov r9, r9, asl #1
422 stmdb r1!, {r8, r9}
423 sub r2, r2, r3, asl #2
424
425 cmp r2, r4
426 bhi 1b
427
428 ldmia sp!, {r4, r5, r6, r7, r8, r9, r10, r11, r12, pc}
429
diff --git a/apps/codecs/Tremor/mdct_lookup.h b/apps/codecs/Tremor/mdct_lookup.h
deleted file mode 100644
index 8aade1e6a3..0000000000
--- a/apps/codecs/Tremor/mdct_lookup.h
+++ /dev/null
@@ -1,540 +0,0 @@
1/********************************************************************
2 * *
3 * THIS FILE IS PART OF THE OggVorbis 'TREMOR' CODEC SOURCE CODE. *
4 * *
5 * USE, DISTRIBUTION AND REPRODUCTION OF THIS LIBRARY SOURCE IS *
6 * GOVERNED BY A BSD-STYLE SOURCE LICENSE INCLUDED WITH THIS SOURCE *
7 * IN 'COPYING'. PLEASE READ THESE TERMS BEFORE DISTRIBUTING. *
8 * *
9 * THE OggVorbis 'TREMOR' SOURCE CODE IS (C) COPYRIGHT 1994-2002 *
10 * BY THE Xiph.Org FOUNDATION http://www.xiph.org/ *
11 * *
12 ********************************************************************
13
14 function: sin,cos lookup tables
15
16 ********************************************************************/
17
18#include "os_types.h"
19
20/* {sin(2*i*PI/4096), cos(2*i*PI/4096)}, with i = 0 to 512 */
21static LOOKUP_T sincos_lookup0[1026] ICONST_ATTR = {
22 X(0x00000000), X(0x7fffffff), X(0x003243f5), X(0x7ffff621),
23 X(0x006487e3), X(0x7fffd886), X(0x0096cbc1), X(0x7fffa72c),
24 X(0x00c90f88), X(0x7fff6216), X(0x00fb5330), X(0x7fff0943),
25 X(0x012d96b1), X(0x7ffe9cb2), X(0x015fda03), X(0x7ffe1c65),
26 X(0x01921d20), X(0x7ffd885a), X(0x01c45ffe), X(0x7ffce093),
27 X(0x01f6a297), X(0x7ffc250f), X(0x0228e4e2), X(0x7ffb55ce),
28 X(0x025b26d7), X(0x7ffa72d1), X(0x028d6870), X(0x7ff97c18),
29 X(0x02bfa9a4), X(0x7ff871a2), X(0x02f1ea6c), X(0x7ff75370),
30 X(0x03242abf), X(0x7ff62182), X(0x03566a96), X(0x7ff4dbd9),
31 X(0x0388a9ea), X(0x7ff38274), X(0x03bae8b2), X(0x7ff21553),
32 X(0x03ed26e6), X(0x7ff09478), X(0x041f6480), X(0x7feeffe1),
33 X(0x0451a177), X(0x7fed5791), X(0x0483ddc3), X(0x7feb9b85),
34 X(0x04b6195d), X(0x7fe9cbc0), X(0x04e8543e), X(0x7fe7e841),
35 X(0x051a8e5c), X(0x7fe5f108), X(0x054cc7b1), X(0x7fe3e616),
36 X(0x057f0035), X(0x7fe1c76b), X(0x05b137df), X(0x7fdf9508),
37 X(0x05e36ea9), X(0x7fdd4eec), X(0x0615a48b), X(0x7fdaf519),
38 X(0x0647d97c), X(0x7fd8878e), X(0x067a0d76), X(0x7fd6064c),
39 X(0x06ac406f), X(0x7fd37153), X(0x06de7262), X(0x7fd0c8a3),
40 X(0x0710a345), X(0x7fce0c3e), X(0x0742d311), X(0x7fcb3c23),
41 X(0x077501be), X(0x7fc85854), X(0x07a72f45), X(0x7fc560cf),
42 X(0x07d95b9e), X(0x7fc25596), X(0x080b86c2), X(0x7fbf36aa),
43 X(0x083db0a7), X(0x7fbc040a), X(0x086fd947), X(0x7fb8bdb8),
44 X(0x08a2009a), X(0x7fb563b3), X(0x08d42699), X(0x7fb1f5fc),
45 X(0x09064b3a), X(0x7fae7495), X(0x09386e78), X(0x7faadf7c),
46 X(0x096a9049), X(0x7fa736b4), X(0x099cb0a7), X(0x7fa37a3c),
47 X(0x09cecf89), X(0x7f9faa15), X(0x0a00ece8), X(0x7f9bc640),
48 X(0x0a3308bd), X(0x7f97cebd), X(0x0a6522fe), X(0x7f93c38c),
49 X(0x0a973ba5), X(0x7f8fa4b0), X(0x0ac952aa), X(0x7f8b7227),
50 X(0x0afb6805), X(0x7f872bf3), X(0x0b2d7baf), X(0x7f82d214),
51 X(0x0b5f8d9f), X(0x7f7e648c), X(0x0b919dcf), X(0x7f79e35a),
52 X(0x0bc3ac35), X(0x7f754e80), X(0x0bf5b8cb), X(0x7f70a5fe),
53 X(0x0c27c389), X(0x7f6be9d4), X(0x0c59cc68), X(0x7f671a05),
54 X(0x0c8bd35e), X(0x7f62368f), X(0x0cbdd865), X(0x7f5d3f75),
55 X(0x0cefdb76), X(0x7f5834b7), X(0x0d21dc87), X(0x7f531655),
56 X(0x0d53db92), X(0x7f4de451), X(0x0d85d88f), X(0x7f489eaa),
57 X(0x0db7d376), X(0x7f434563), X(0x0de9cc40), X(0x7f3dd87c),
58 X(0x0e1bc2e4), X(0x7f3857f6), X(0x0e4db75b), X(0x7f32c3d1),
59 X(0x0e7fa99e), X(0x7f2d1c0e), X(0x0eb199a4), X(0x7f2760af),
60 X(0x0ee38766), X(0x7f2191b4), X(0x0f1572dc), X(0x7f1baf1e),
61 X(0x0f475bff), X(0x7f15b8ee), X(0x0f7942c7), X(0x7f0faf25),
62 X(0x0fab272b), X(0x7f0991c4), X(0x0fdd0926), X(0x7f0360cb),
63 X(0x100ee8ad), X(0x7efd1c3c), X(0x1040c5bb), X(0x7ef6c418),
64 X(0x1072a048), X(0x7ef05860), X(0x10a4784b), X(0x7ee9d914),
65 X(0x10d64dbd), X(0x7ee34636), X(0x11082096), X(0x7edc9fc6),
66 X(0x1139f0cf), X(0x7ed5e5c6), X(0x116bbe60), X(0x7ecf1837),
67 X(0x119d8941), X(0x7ec8371a), X(0x11cf516a), X(0x7ec14270),
68 X(0x120116d5), X(0x7eba3a39), X(0x1232d979), X(0x7eb31e78),
69 X(0x1264994e), X(0x7eabef2c), X(0x1296564d), X(0x7ea4ac58),
70 X(0x12c8106f), X(0x7e9d55fc), X(0x12f9c7aa), X(0x7e95ec1a),
71 X(0x132b7bf9), X(0x7e8e6eb2), X(0x135d2d53), X(0x7e86ddc6),
72 X(0x138edbb1), X(0x7e7f3957), X(0x13c0870a), X(0x7e778166),
73 X(0x13f22f58), X(0x7e6fb5f4), X(0x1423d492), X(0x7e67d703),
74 X(0x145576b1), X(0x7e5fe493), X(0x148715ae), X(0x7e57dea7),
75 X(0x14b8b17f), X(0x7e4fc53e), X(0x14ea4a1f), X(0x7e47985b),
76 X(0x151bdf86), X(0x7e3f57ff), X(0x154d71aa), X(0x7e37042a),
77 X(0x157f0086), X(0x7e2e9cdf), X(0x15b08c12), X(0x7e26221f),
78 X(0x15e21445), X(0x7e1d93ea), X(0x16139918), X(0x7e14f242),
79 X(0x16451a83), X(0x7e0c3d29), X(0x1676987f), X(0x7e0374a0),
80 X(0x16a81305), X(0x7dfa98a8), X(0x16d98a0c), X(0x7df1a942),
81 X(0x170afd8d), X(0x7de8a670), X(0x173c6d80), X(0x7ddf9034),
82 X(0x176dd9de), X(0x7dd6668f), X(0x179f429f), X(0x7dcd2981),
83 X(0x17d0a7bc), X(0x7dc3d90d), X(0x1802092c), X(0x7dba7534),
84 X(0x183366e9), X(0x7db0fdf8), X(0x1864c0ea), X(0x7da77359),
85 X(0x18961728), X(0x7d9dd55a), X(0x18c7699b), X(0x7d9423fc),
86 X(0x18f8b83c), X(0x7d8a5f40), X(0x192a0304), X(0x7d808728),
87 X(0x195b49ea), X(0x7d769bb5), X(0x198c8ce7), X(0x7d6c9ce9),
88 X(0x19bdcbf3), X(0x7d628ac6), X(0x19ef0707), X(0x7d58654d),
89 X(0x1a203e1b), X(0x7d4e2c7f), X(0x1a517128), X(0x7d43e05e),
90 X(0x1a82a026), X(0x7d3980ec), X(0x1ab3cb0d), X(0x7d2f0e2b),
91 X(0x1ae4f1d6), X(0x7d24881b), X(0x1b161479), X(0x7d19eebf),
92 X(0x1b4732ef), X(0x7d0f4218), X(0x1b784d30), X(0x7d048228),
93 X(0x1ba96335), X(0x7cf9aef0), X(0x1bda74f6), X(0x7ceec873),
94 X(0x1c0b826a), X(0x7ce3ceb2), X(0x1c3c8b8c), X(0x7cd8c1ae),
95 X(0x1c6d9053), X(0x7ccda169), X(0x1c9e90b8), X(0x7cc26de5),
96 X(0x1ccf8cb3), X(0x7cb72724), X(0x1d00843d), X(0x7cabcd28),
97 X(0x1d31774d), X(0x7ca05ff1), X(0x1d6265dd), X(0x7c94df83),
98 X(0x1d934fe5), X(0x7c894bde), X(0x1dc4355e), X(0x7c7da505),
99 X(0x1df5163f), X(0x7c71eaf9), X(0x1e25f282), X(0x7c661dbc),
100 X(0x1e56ca1e), X(0x7c5a3d50), X(0x1e879d0d), X(0x7c4e49b7),
101 X(0x1eb86b46), X(0x7c4242f2), X(0x1ee934c3), X(0x7c362904),
102 X(0x1f19f97b), X(0x7c29fbee), X(0x1f4ab968), X(0x7c1dbbb3),
103 X(0x1f7b7481), X(0x7c116853), X(0x1fac2abf), X(0x7c0501d2),
104 X(0x1fdcdc1b), X(0x7bf88830), X(0x200d888d), X(0x7bebfb70),
105 X(0x203e300d), X(0x7bdf5b94), X(0x206ed295), X(0x7bd2a89e),
106 X(0x209f701c), X(0x7bc5e290), X(0x20d0089c), X(0x7bb9096b),
107 X(0x21009c0c), X(0x7bac1d31), X(0x21312a65), X(0x7b9f1de6),
108 X(0x2161b3a0), X(0x7b920b89), X(0x219237b5), X(0x7b84e61f),
109 X(0x21c2b69c), X(0x7b77ada8), X(0x21f3304f), X(0x7b6a6227),
110 X(0x2223a4c5), X(0x7b5d039e), X(0x225413f8), X(0x7b4f920e),
111 X(0x22847de0), X(0x7b420d7a), X(0x22b4e274), X(0x7b3475e5),
112 X(0x22e541af), X(0x7b26cb4f), X(0x23159b88), X(0x7b190dbc),
113 X(0x2345eff8), X(0x7b0b3d2c), X(0x23763ef7), X(0x7afd59a4),
114 X(0x23a6887f), X(0x7aef6323), X(0x23d6cc87), X(0x7ae159ae),
115 X(0x24070b08), X(0x7ad33d45), X(0x243743fa), X(0x7ac50dec),
116 X(0x24677758), X(0x7ab6cba4), X(0x2497a517), X(0x7aa8766f),
117 X(0x24c7cd33), X(0x7a9a0e50), X(0x24f7efa2), X(0x7a8b9348),
118 X(0x25280c5e), X(0x7a7d055b), X(0x2558235f), X(0x7a6e648a),
119 X(0x2588349d), X(0x7a5fb0d8), X(0x25b84012), X(0x7a50ea47),
120 X(0x25e845b6), X(0x7a4210d8), X(0x26184581), X(0x7a332490),
121 X(0x26483f6c), X(0x7a24256f), X(0x26783370), X(0x7a151378),
122 X(0x26a82186), X(0x7a05eead), X(0x26d809a5), X(0x79f6b711),
123 X(0x2707ebc7), X(0x79e76ca7), X(0x2737c7e3), X(0x79d80f6f),
124 X(0x27679df4), X(0x79c89f6e), X(0x27976df1), X(0x79b91ca4),
125 X(0x27c737d3), X(0x79a98715), X(0x27f6fb92), X(0x7999dec4),
126 X(0x2826b928), X(0x798a23b1), X(0x2856708d), X(0x797a55e0),
127 X(0x288621b9), X(0x796a7554), X(0x28b5cca5), X(0x795a820e),
128 X(0x28e5714b), X(0x794a7c12), X(0x29150fa1), X(0x793a6361),
129 X(0x2944a7a2), X(0x792a37fe), X(0x29743946), X(0x7919f9ec),
130 X(0x29a3c485), X(0x7909a92d), X(0x29d34958), X(0x78f945c3),
131 X(0x2a02c7b8), X(0x78e8cfb2), X(0x2a323f9e), X(0x78d846fb),
132 X(0x2a61b101), X(0x78c7aba2), X(0x2a911bdc), X(0x78b6fda8),
133 X(0x2ac08026), X(0x78a63d11), X(0x2aefddd8), X(0x789569df),
134 X(0x2b1f34eb), X(0x78848414), X(0x2b4e8558), X(0x78738bb3),
135 X(0x2b7dcf17), X(0x786280bf), X(0x2bad1221), X(0x7851633b),
136 X(0x2bdc4e6f), X(0x78403329), X(0x2c0b83fa), X(0x782ef08b),
137 X(0x2c3ab2b9), X(0x781d9b65), X(0x2c69daa6), X(0x780c33b8),
138 X(0x2c98fbba), X(0x77fab989), X(0x2cc815ee), X(0x77e92cd9),
139 X(0x2cf72939), X(0x77d78daa), X(0x2d263596), X(0x77c5dc01),
140 X(0x2d553afc), X(0x77b417df), X(0x2d843964), X(0x77a24148),
141 X(0x2db330c7), X(0x7790583e), X(0x2de2211e), X(0x777e5cc3),
142 X(0x2e110a62), X(0x776c4edb), X(0x2e3fec8b), X(0x775a2e89),
143 X(0x2e6ec792), X(0x7747fbce), X(0x2e9d9b70), X(0x7735b6af),
144 X(0x2ecc681e), X(0x77235f2d), X(0x2efb2d95), X(0x7710f54c),
145 X(0x2f29ebcc), X(0x76fe790e), X(0x2f58a2be), X(0x76ebea77),
146 X(0x2f875262), X(0x76d94989), X(0x2fb5fab2), X(0x76c69647),
147 X(0x2fe49ba7), X(0x76b3d0b4), X(0x30133539), X(0x76a0f8d2),
148 X(0x3041c761), X(0x768e0ea6), X(0x30705217), X(0x767b1231),
149 X(0x309ed556), X(0x76680376), X(0x30cd5115), X(0x7654e279),
150 X(0x30fbc54d), X(0x7641af3d), X(0x312a31f8), X(0x762e69c4),
151 X(0x3158970e), X(0x761b1211), X(0x3186f487), X(0x7607a828),
152 X(0x31b54a5e), X(0x75f42c0b), X(0x31e39889), X(0x75e09dbd),
153 X(0x3211df04), X(0x75ccfd42), X(0x32401dc6), X(0x75b94a9c),
154 X(0x326e54c7), X(0x75a585cf), X(0x329c8402), X(0x7591aedd),
155 X(0x32caab6f), X(0x757dc5ca), X(0x32f8cb07), X(0x7569ca99),
156 X(0x3326e2c3), X(0x7555bd4c), X(0x3354f29b), X(0x75419de7),
157 X(0x3382fa88), X(0x752d6c6c), X(0x33b0fa84), X(0x751928e0),
158 X(0x33def287), X(0x7504d345), X(0x340ce28b), X(0x74f06b9e),
159 X(0x343aca87), X(0x74dbf1ef), X(0x3468aa76), X(0x74c7663a),
160 X(0x34968250), X(0x74b2c884), X(0x34c4520d), X(0x749e18cd),
161 X(0x34f219a8), X(0x7489571c), X(0x351fd918), X(0x74748371),
162 X(0x354d9057), X(0x745f9dd1), X(0x357b3f5d), X(0x744aa63f),
163 X(0x35a8e625), X(0x74359cbd), X(0x35d684a6), X(0x74208150),
164 X(0x36041ad9), X(0x740b53fb), X(0x3631a8b8), X(0x73f614c0),
165 X(0x365f2e3b), X(0x73e0c3a3), X(0x368cab5c), X(0x73cb60a8),
166 X(0x36ba2014), X(0x73b5ebd1), X(0x36e78c5b), X(0x73a06522),
167 X(0x3714f02a), X(0x738acc9e), X(0x37424b7b), X(0x73752249),
168 X(0x376f9e46), X(0x735f6626), X(0x379ce885), X(0x73499838),
169 X(0x37ca2a30), X(0x7333b883), X(0x37f76341), X(0x731dc70a),
170 X(0x382493b0), X(0x7307c3d0), X(0x3851bb77), X(0x72f1aed9),
171 X(0x387eda8e), X(0x72db8828), X(0x38abf0ef), X(0x72c54fc1),
172 X(0x38d8fe93), X(0x72af05a7), X(0x39060373), X(0x7298a9dd),
173 X(0x3932ff87), X(0x72823c67), X(0x395ff2c9), X(0x726bbd48),
174 X(0x398cdd32), X(0x72552c85), X(0x39b9bebc), X(0x723e8a20),
175 X(0x39e6975e), X(0x7227d61c), X(0x3a136712), X(0x7211107e),
176 X(0x3a402dd2), X(0x71fa3949), X(0x3a6ceb96), X(0x71e35080),
177 X(0x3a99a057), X(0x71cc5626), X(0x3ac64c0f), X(0x71b54a41),
178 X(0x3af2eeb7), X(0x719e2cd2), X(0x3b1f8848), X(0x7186fdde),
179 X(0x3b4c18ba), X(0x716fbd68), X(0x3b78a007), X(0x71586b74),
180 X(0x3ba51e29), X(0x71410805), X(0x3bd19318), X(0x7129931f),
181 X(0x3bfdfecd), X(0x71120cc5), X(0x3c2a6142), X(0x70fa74fc),
182 X(0x3c56ba70), X(0x70e2cbc6), X(0x3c830a50), X(0x70cb1128),
183 X(0x3caf50da), X(0x70b34525), X(0x3cdb8e09), X(0x709b67c0),
184 X(0x3d07c1d6), X(0x708378ff), X(0x3d33ec39), X(0x706b78e3),
185 X(0x3d600d2c), X(0x70536771), X(0x3d8c24a8), X(0x703b44ad),
186 X(0x3db832a6), X(0x7023109a), X(0x3de4371f), X(0x700acb3c),
187 X(0x3e10320d), X(0x6ff27497), X(0x3e3c2369), X(0x6fda0cae),
188 X(0x3e680b2c), X(0x6fc19385), X(0x3e93e950), X(0x6fa90921),
189 X(0x3ebfbdcd), X(0x6f906d84), X(0x3eeb889c), X(0x6f77c0b3),
190 X(0x3f1749b8), X(0x6f5f02b2), X(0x3f430119), X(0x6f463383),
191 X(0x3f6eaeb8), X(0x6f2d532c), X(0x3f9a5290), X(0x6f1461b0),
192 X(0x3fc5ec98), X(0x6efb5f12), X(0x3ff17cca), X(0x6ee24b57),
193 X(0x401d0321), X(0x6ec92683), X(0x40487f94), X(0x6eaff099),
194 X(0x4073f21d), X(0x6e96a99d), X(0x409f5ab6), X(0x6e7d5193),
195 X(0x40cab958), X(0x6e63e87f), X(0x40f60dfb), X(0x6e4a6e66),
196 X(0x4121589b), X(0x6e30e34a), X(0x414c992f), X(0x6e174730),
197 X(0x4177cfb1), X(0x6dfd9a1c), X(0x41a2fc1a), X(0x6de3dc11),
198 X(0x41ce1e65), X(0x6dca0d14), X(0x41f93689), X(0x6db02d29),
199 X(0x42244481), X(0x6d963c54), X(0x424f4845), X(0x6d7c3a98),
200 X(0x427a41d0), X(0x6d6227fa), X(0x42a5311b), X(0x6d48047e),
201 X(0x42d0161e), X(0x6d2dd027), X(0x42faf0d4), X(0x6d138afb),
202 X(0x4325c135), X(0x6cf934fc), X(0x4350873c), X(0x6cdece2f),
203 X(0x437b42e1), X(0x6cc45698), X(0x43a5f41e), X(0x6ca9ce3b),
204 X(0x43d09aed), X(0x6c8f351c), X(0x43fb3746), X(0x6c748b3f),
205 X(0x4425c923), X(0x6c59d0a9), X(0x4450507e), X(0x6c3f055d),
206 X(0x447acd50), X(0x6c242960), X(0x44a53f93), X(0x6c093cb6),
207 X(0x44cfa740), X(0x6bee3f62), X(0x44fa0450), X(0x6bd3316a),
208 X(0x452456bd), X(0x6bb812d1), X(0x454e9e80), X(0x6b9ce39b),
209 X(0x4578db93), X(0x6b81a3cd), X(0x45a30df0), X(0x6b66536b),
210 X(0x45cd358f), X(0x6b4af279), X(0x45f7526b), X(0x6b2f80fb),
211 X(0x4621647d), X(0x6b13fef5), X(0x464b6bbe), X(0x6af86c6c),
212 X(0x46756828), X(0x6adcc964), X(0x469f59b4), X(0x6ac115e2),
213 X(0x46c9405c), X(0x6aa551e9), X(0x46f31c1a), X(0x6a897d7d),
214 X(0x471cece7), X(0x6a6d98a4), X(0x4746b2bc), X(0x6a51a361),
215 X(0x47706d93), X(0x6a359db9), X(0x479a1d67), X(0x6a1987b0),
216 X(0x47c3c22f), X(0x69fd614a), X(0x47ed5be6), X(0x69e12a8c),
217 X(0x4816ea86), X(0x69c4e37a), X(0x48406e08), X(0x69a88c19),
218 X(0x4869e665), X(0x698c246c), X(0x48935397), X(0x696fac78),
219 X(0x48bcb599), X(0x69532442), X(0x48e60c62), X(0x69368bce),
220 X(0x490f57ee), X(0x6919e320), X(0x49389836), X(0x68fd2a3d),
221 X(0x4961cd33), X(0x68e06129), X(0x498af6df), X(0x68c387e9),
222 X(0x49b41533), X(0x68a69e81), X(0x49dd282a), X(0x6889a4f6),
223 X(0x4a062fbd), X(0x686c9b4b), X(0x4a2f2be6), X(0x684f8186),
224 X(0x4a581c9e), X(0x683257ab), X(0x4a8101de), X(0x68151dbe),
225 X(0x4aa9dba2), X(0x67f7d3c5), X(0x4ad2a9e2), X(0x67da79c3),
226 X(0x4afb6c98), X(0x67bd0fbd), X(0x4b2423be), X(0x679f95b7),
227 X(0x4b4ccf4d), X(0x67820bb7), X(0x4b756f40), X(0x676471c0),
228 X(0x4b9e0390), X(0x6746c7d8), X(0x4bc68c36), X(0x67290e02),
229 X(0x4bef092d), X(0x670b4444), X(0x4c177a6e), X(0x66ed6aa1),
230 X(0x4c3fdff4), X(0x66cf8120), X(0x4c6839b7), X(0x66b187c3),
231 X(0x4c9087b1), X(0x66937e91), X(0x4cb8c9dd), X(0x6675658c),
232 X(0x4ce10034), X(0x66573cbb), X(0x4d092ab0), X(0x66390422),
233 X(0x4d31494b), X(0x661abbc5), X(0x4d595bfe), X(0x65fc63a9),
234 X(0x4d8162c4), X(0x65ddfbd3), X(0x4da95d96), X(0x65bf8447),
235 X(0x4dd14c6e), X(0x65a0fd0b), X(0x4df92f46), X(0x65826622),
236 X(0x4e210617), X(0x6563bf92), X(0x4e48d0dd), X(0x6545095f),
237 X(0x4e708f8f), X(0x6526438f), X(0x4e984229), X(0x65076e25),
238 X(0x4ebfe8a5), X(0x64e88926), X(0x4ee782fb), X(0x64c99498),
239 X(0x4f0f1126), X(0x64aa907f), X(0x4f369320), X(0x648b7ce0),
240 X(0x4f5e08e3), X(0x646c59bf), X(0x4f857269), X(0x644d2722),
241 X(0x4faccfab), X(0x642de50d), X(0x4fd420a4), X(0x640e9386),
242 X(0x4ffb654d), X(0x63ef3290), X(0x50229da1), X(0x63cfc231),
243 X(0x5049c999), X(0x63b0426d), X(0x5070e92f), X(0x6390b34a),
244 X(0x5097fc5e), X(0x637114cc), X(0x50bf031f), X(0x635166f9),
245 X(0x50e5fd6d), X(0x6331a9d4), X(0x510ceb40), X(0x6311dd64),
246 X(0x5133cc94), X(0x62f201ac), X(0x515aa162), X(0x62d216b3),
247 X(0x518169a5), X(0x62b21c7b), X(0x51a82555), X(0x6292130c),
248 X(0x51ced46e), X(0x6271fa69), X(0x51f576ea), X(0x6251d298),
249 X(0x521c0cc2), X(0x62319b9d), X(0x524295f0), X(0x6211557e),
250 X(0x5269126e), X(0x61f1003f), X(0x528f8238), X(0x61d09be5),
251 X(0x52b5e546), X(0x61b02876), X(0x52dc3b92), X(0x618fa5f7),
252 X(0x53028518), X(0x616f146c), X(0x5328c1d0), X(0x614e73da),
253 X(0x534ef1b5), X(0x612dc447), X(0x537514c2), X(0x610d05b7),
254 X(0x539b2af0), X(0x60ec3830), X(0x53c13439), X(0x60cb5bb7),
255 X(0x53e73097), X(0x60aa7050), X(0x540d2005), X(0x60897601),
256 X(0x5433027d), X(0x60686ccf), X(0x5458d7f9), X(0x604754bf),
257 X(0x547ea073), X(0x60262dd6), X(0x54a45be6), X(0x6004f819),
258 X(0x54ca0a4b), X(0x5fe3b38d), X(0x54efab9c), X(0x5fc26038),
259 X(0x55153fd4), X(0x5fa0fe1f), X(0x553ac6ee), X(0x5f7f8d46),
260 X(0x556040e2), X(0x5f5e0db3), X(0x5585adad), X(0x5f3c7f6b),
261 X(0x55ab0d46), X(0x5f1ae274), X(0x55d05faa), X(0x5ef936d1),
262 X(0x55f5a4d2), X(0x5ed77c8a), X(0x561adcb9), X(0x5eb5b3a2),
263 X(0x56400758), X(0x5e93dc1f), X(0x566524aa), X(0x5e71f606),
264 X(0x568a34a9), X(0x5e50015d), X(0x56af3750), X(0x5e2dfe29),
265 X(0x56d42c99), X(0x5e0bec6e), X(0x56f9147e), X(0x5de9cc33),
266 X(0x571deefa), X(0x5dc79d7c), X(0x5742bc06), X(0x5da5604f),
267 X(0x57677b9d), X(0x5d8314b1), X(0x578c2dba), X(0x5d60baa7),
268 X(0x57b0d256), X(0x5d3e5237), X(0x57d5696d), X(0x5d1bdb65),
269 X(0x57f9f2f8), X(0x5cf95638), X(0x581e6ef1), X(0x5cd6c2b5),
270 X(0x5842dd54), X(0x5cb420e0), X(0x58673e1b), X(0x5c9170bf),
271 X(0x588b9140), X(0x5c6eb258), X(0x58afd6bd), X(0x5c4be5b0),
272 X(0x58d40e8c), X(0x5c290acc), X(0x58f838a9), X(0x5c0621b2),
273 X(0x591c550e), X(0x5be32a67), X(0x594063b5), X(0x5bc024f0),
274 X(0x59646498), X(0x5b9d1154), X(0x598857b2), X(0x5b79ef96),
275 X(0x59ac3cfd), X(0x5b56bfbd), X(0x59d01475), X(0x5b3381ce),
276 X(0x59f3de12), X(0x5b1035cf), X(0x5a1799d1), X(0x5aecdbc5),
277 X(0x5a3b47ab), X(0x5ac973b5), X(0x5a5ee79a), X(0x5aa5fda5),
278 X(0x5a82799a), X(0x5a82799a)
279 };
280
281 /* {sin((2*i+1)*PI/4096), cos((2*i+1)*PI/4096)}, with i = 0 to 511 */
282static LOOKUP_T sincos_lookup1[1024] ICONST_ATTR = {
283 X(0x001921fb), X(0x7ffffd88), X(0x004b65ee), X(0x7fffe9cb),
284 X(0x007da9d4), X(0x7fffc251), X(0x00afeda8), X(0x7fff8719),
285 X(0x00e23160), X(0x7fff3824), X(0x011474f6), X(0x7ffed572),
286 X(0x0146b860), X(0x7ffe5f03), X(0x0178fb99), X(0x7ffdd4d7),
287 X(0x01ab3e97), X(0x7ffd36ee), X(0x01dd8154), X(0x7ffc8549),
288 X(0x020fc3c6), X(0x7ffbbfe6), X(0x024205e8), X(0x7ffae6c7),
289 X(0x027447b0), X(0x7ff9f9ec), X(0x02a68917), X(0x7ff8f954),
290 X(0x02d8ca16), X(0x7ff7e500), X(0x030b0aa4), X(0x7ff6bcf0),
291 X(0x033d4abb), X(0x7ff58125), X(0x036f8a51), X(0x7ff4319d),
292 X(0x03a1c960), X(0x7ff2ce5b), X(0x03d407df), X(0x7ff1575d),
293 X(0x040645c7), X(0x7fefcca4), X(0x04388310), X(0x7fee2e30),
294 X(0x046abfb3), X(0x7fec7c02), X(0x049cfba7), X(0x7feab61a),
295 X(0x04cf36e5), X(0x7fe8dc78), X(0x05017165), X(0x7fe6ef1c),
296 X(0x0533ab20), X(0x7fe4ee06), X(0x0565e40d), X(0x7fe2d938),
297 X(0x05981c26), X(0x7fe0b0b1), X(0x05ca5361), X(0x7fde7471),
298 X(0x05fc89b8), X(0x7fdc247a), X(0x062ebf22), X(0x7fd9c0ca),
299 X(0x0660f398), X(0x7fd74964), X(0x06932713), X(0x7fd4be46),
300 X(0x06c5598a), X(0x7fd21f72), X(0x06f78af6), X(0x7fcf6ce8),
301 X(0x0729bb4e), X(0x7fcca6a7), X(0x075bea8c), X(0x7fc9ccb2),
302 X(0x078e18a7), X(0x7fc6df08), X(0x07c04598), X(0x7fc3dda9),
303 X(0x07f27157), X(0x7fc0c896), X(0x08249bdd), X(0x7fbd9fd0),
304 X(0x0856c520), X(0x7fba6357), X(0x0888ed1b), X(0x7fb7132b),
305 X(0x08bb13c5), X(0x7fb3af4e), X(0x08ed3916), X(0x7fb037bf),
306 X(0x091f5d06), X(0x7facac7f), X(0x09517f8f), X(0x7fa90d8e),
307 X(0x0983a0a7), X(0x7fa55aee), X(0x09b5c048), X(0x7fa1949e),
308 X(0x09e7de6a), X(0x7f9dbaa0), X(0x0a19fb04), X(0x7f99ccf4),
309 X(0x0a4c1610), X(0x7f95cb9a), X(0x0a7e2f85), X(0x7f91b694),
310 X(0x0ab0475c), X(0x7f8d8de1), X(0x0ae25d8d), X(0x7f895182),
311 X(0x0b147211), X(0x7f850179), X(0x0b4684df), X(0x7f809dc5),
312 X(0x0b7895f0), X(0x7f7c2668), X(0x0baaa53b), X(0x7f779b62),
313 X(0x0bdcb2bb), X(0x7f72fcb4), X(0x0c0ebe66), X(0x7f6e4a5e),
314 X(0x0c40c835), X(0x7f698461), X(0x0c72d020), X(0x7f64aabf),
315 X(0x0ca4d620), X(0x7f5fbd77), X(0x0cd6da2d), X(0x7f5abc8a),
316 X(0x0d08dc3f), X(0x7f55a7fa), X(0x0d3adc4e), X(0x7f507fc7),
317 X(0x0d6cda53), X(0x7f4b43f2), X(0x0d9ed646), X(0x7f45f47b),
318 X(0x0dd0d01f), X(0x7f409164), X(0x0e02c7d7), X(0x7f3b1aad),
319 X(0x0e34bd66), X(0x7f359057), X(0x0e66b0c3), X(0x7f2ff263),
320 X(0x0e98a1e9), X(0x7f2a40d2), X(0x0eca90ce), X(0x7f247ba5),
321 X(0x0efc7d6b), X(0x7f1ea2dc), X(0x0f2e67b8), X(0x7f18b679),
322 X(0x0f604faf), X(0x7f12b67c), X(0x0f923546), X(0x7f0ca2e7),
323 X(0x0fc41876), X(0x7f067bba), X(0x0ff5f938), X(0x7f0040f6),
324 X(0x1027d784), X(0x7ef9f29d), X(0x1059b352), X(0x7ef390ae),
325 X(0x108b8c9b), X(0x7eed1b2c), X(0x10bd6356), X(0x7ee69217),
326 X(0x10ef377d), X(0x7edff570), X(0x11210907), X(0x7ed94538),
327 X(0x1152d7ed), X(0x7ed28171), X(0x1184a427), X(0x7ecbaa1a),
328 X(0x11b66dad), X(0x7ec4bf36), X(0x11e83478), X(0x7ebdc0c6),
329 X(0x1219f880), X(0x7eb6aeca), X(0x124bb9be), X(0x7eaf8943),
330 X(0x127d7829), X(0x7ea85033), X(0x12af33ba), X(0x7ea1039b),
331 X(0x12e0ec6a), X(0x7e99a37c), X(0x1312a230), X(0x7e922fd6),
332 X(0x13445505), X(0x7e8aa8ac), X(0x137604e2), X(0x7e830dff),
333 X(0x13a7b1bf), X(0x7e7b5fce), X(0x13d95b93), X(0x7e739e1d),
334 X(0x140b0258), X(0x7e6bc8eb), X(0x143ca605), X(0x7e63e03b),
335 X(0x146e4694), X(0x7e5be40c), X(0x149fe3fc), X(0x7e53d462),
336 X(0x14d17e36), X(0x7e4bb13c), X(0x1503153a), X(0x7e437a9c),
337 X(0x1534a901), X(0x7e3b3083), X(0x15663982), X(0x7e32d2f4),
338 X(0x1597c6b7), X(0x7e2a61ed), X(0x15c95097), X(0x7e21dd73),
339 X(0x15fad71b), X(0x7e194584), X(0x162c5a3b), X(0x7e109a24),
340 X(0x165dd9f0), X(0x7e07db52), X(0x168f5632), X(0x7dff0911),
341 X(0x16c0cef9), X(0x7df62362), X(0x16f2443e), X(0x7ded2a47),
342 X(0x1723b5f9), X(0x7de41dc0), X(0x17552422), X(0x7ddafdce),
343 X(0x17868eb3), X(0x7dd1ca75), X(0x17b7f5a3), X(0x7dc883b4),
344 X(0x17e958ea), X(0x7dbf298d), X(0x181ab881), X(0x7db5bc02),
345 X(0x184c1461), X(0x7dac3b15), X(0x187d6c82), X(0x7da2a6c6),
346 X(0x18aec0db), X(0x7d98ff17), X(0x18e01167), X(0x7d8f4409),
347 X(0x19115e1c), X(0x7d85759f), X(0x1942a6f3), X(0x7d7b93da),
348 X(0x1973ebe6), X(0x7d719eba), X(0x19a52ceb), X(0x7d679642),
349 X(0x19d669fc), X(0x7d5d7a74), X(0x1a07a311), X(0x7d534b50),
350 X(0x1a38d823), X(0x7d4908d9), X(0x1a6a0929), X(0x7d3eb30f),
351 X(0x1a9b361d), X(0x7d3449f5), X(0x1acc5ef6), X(0x7d29cd8c),
352 X(0x1afd83ad), X(0x7d1f3dd6), X(0x1b2ea43a), X(0x7d149ad5),
353 X(0x1b5fc097), X(0x7d09e489), X(0x1b90d8bb), X(0x7cff1af5),
354 X(0x1bc1ec9e), X(0x7cf43e1a), X(0x1bf2fc3a), X(0x7ce94dfb),
355 X(0x1c240786), X(0x7cde4a98), X(0x1c550e7c), X(0x7cd333f3),
356 X(0x1c861113), X(0x7cc80a0f), X(0x1cb70f43), X(0x7cbcccec),
357 X(0x1ce80906), X(0x7cb17c8d), X(0x1d18fe54), X(0x7ca618f3),
358 X(0x1d49ef26), X(0x7c9aa221), X(0x1d7adb73), X(0x7c8f1817),
359 X(0x1dabc334), X(0x7c837ad8), X(0x1ddca662), X(0x7c77ca65),
360 X(0x1e0d84f5), X(0x7c6c06c0), X(0x1e3e5ee5), X(0x7c602fec),
361 X(0x1e6f342c), X(0x7c5445e9), X(0x1ea004c1), X(0x7c4848ba),
362 X(0x1ed0d09d), X(0x7c3c3860), X(0x1f0197b8), X(0x7c3014de),
363 X(0x1f325a0b), X(0x7c23de35), X(0x1f63178f), X(0x7c179467),
364 X(0x1f93d03c), X(0x7c0b3777), X(0x1fc4840a), X(0x7bfec765),
365 X(0x1ff532f2), X(0x7bf24434), X(0x2025dcec), X(0x7be5ade6),
366 X(0x205681f1), X(0x7bd9047c), X(0x208721f9), X(0x7bcc47fa),
367 X(0x20b7bcfe), X(0x7bbf7860), X(0x20e852f6), X(0x7bb295b0),
368 X(0x2118e3dc), X(0x7ba59fee), X(0x21496fa7), X(0x7b989719),
369 X(0x2179f64f), X(0x7b8b7b36), X(0x21aa77cf), X(0x7b7e4c45),
370 X(0x21daf41d), X(0x7b710a49), X(0x220b6b32), X(0x7b63b543),
371 X(0x223bdd08), X(0x7b564d36), X(0x226c4996), X(0x7b48d225),
372 X(0x229cb0d5), X(0x7b3b4410), X(0x22cd12bd), X(0x7b2da2fa),
373 X(0x22fd6f48), X(0x7b1feee5), X(0x232dc66d), X(0x7b1227d3),
374 X(0x235e1826), X(0x7b044dc7), X(0x238e646a), X(0x7af660c2),
375 X(0x23beab33), X(0x7ae860c7), X(0x23eeec78), X(0x7ada4dd8),
376 X(0x241f2833), X(0x7acc27f7), X(0x244f5e5c), X(0x7abdef25),
377 X(0x247f8eec), X(0x7aafa367), X(0x24afb9da), X(0x7aa144bc),
378 X(0x24dfdf20), X(0x7a92d329), X(0x250ffeb7), X(0x7a844eae),
379 X(0x25401896), X(0x7a75b74f), X(0x25702cb7), X(0x7a670d0d),
380 X(0x25a03b11), X(0x7a584feb), X(0x25d0439f), X(0x7a497feb),
381 X(0x26004657), X(0x7a3a9d0f), X(0x26304333), X(0x7a2ba75a),
382 X(0x26603a2c), X(0x7a1c9ece), X(0x26902b39), X(0x7a0d836d),
383 X(0x26c01655), X(0x79fe5539), X(0x26effb76), X(0x79ef1436),
384 X(0x271fda96), X(0x79dfc064), X(0x274fb3ae), X(0x79d059c8),
385 X(0x277f86b5), X(0x79c0e062), X(0x27af53a6), X(0x79b15435),
386 X(0x27df1a77), X(0x79a1b545), X(0x280edb23), X(0x79920392),
387 X(0x283e95a1), X(0x79823f20), X(0x286e49ea), X(0x797267f2),
388 X(0x289df7f8), X(0x79627e08), X(0x28cd9fc1), X(0x79528167),
389 X(0x28fd4140), X(0x79427210), X(0x292cdc6d), X(0x79325006),
390 X(0x295c7140), X(0x79221b4b), X(0x298bffb2), X(0x7911d3e2),
391 X(0x29bb87bc), X(0x790179cd), X(0x29eb0957), X(0x78f10d0f),
392 X(0x2a1a847b), X(0x78e08dab), X(0x2a49f920), X(0x78cffba3),
393 X(0x2a796740), X(0x78bf56f9), X(0x2aa8ced3), X(0x78ae9fb0),
394 X(0x2ad82fd2), X(0x789dd5cb), X(0x2b078a36), X(0x788cf94c),
395 X(0x2b36ddf7), X(0x787c0a36), X(0x2b662b0e), X(0x786b088c),
396 X(0x2b957173), X(0x7859f44f), X(0x2bc4b120), X(0x7848cd83),
397 X(0x2bf3ea0d), X(0x7837942b), X(0x2c231c33), X(0x78264849),
398 X(0x2c52478a), X(0x7814e9df), X(0x2c816c0c), X(0x780378f1),
399 X(0x2cb089b1), X(0x77f1f581), X(0x2cdfa071), X(0x77e05f91),
400 X(0x2d0eb046), X(0x77ceb725), X(0x2d3db928), X(0x77bcfc3f),
401 X(0x2d6cbb10), X(0x77ab2ee2), X(0x2d9bb5f6), X(0x77994f11),
402 X(0x2dcaa9d5), X(0x77875cce), X(0x2df996a3), X(0x7775581d),
403 X(0x2e287c5a), X(0x776340ff), X(0x2e575af3), X(0x77511778),
404 X(0x2e863267), X(0x773edb8b), X(0x2eb502ae), X(0x772c8d3a),
405 X(0x2ee3cbc1), X(0x771a2c88), X(0x2f128d99), X(0x7707b979),
406 X(0x2f41482e), X(0x76f5340e), X(0x2f6ffb7a), X(0x76e29c4b),
407 X(0x2f9ea775), X(0x76cff232), X(0x2fcd4c19), X(0x76bd35c7),
408 X(0x2ffbe95d), X(0x76aa670d), X(0x302a7f3a), X(0x76978605),
409 X(0x30590dab), X(0x768492b4), X(0x308794a6), X(0x76718d1c),
410 X(0x30b61426), X(0x765e7540), X(0x30e48c22), X(0x764b4b23),
411 X(0x3112fc95), X(0x76380ec8), X(0x31416576), X(0x7624c031),
412 X(0x316fc6be), X(0x76115f63), X(0x319e2067), X(0x75fdec60),
413 X(0x31cc7269), X(0x75ea672a), X(0x31fabcbd), X(0x75d6cfc5),
414 X(0x3228ff5c), X(0x75c32634), X(0x32573a3f), X(0x75af6a7b),
415 X(0x32856d5e), X(0x759b9c9b), X(0x32b398b3), X(0x7587bc98),
416 X(0x32e1bc36), X(0x7573ca75), X(0x330fd7e1), X(0x755fc635),
417 X(0x333debab), X(0x754bafdc), X(0x336bf78f), X(0x7537876c),
418 X(0x3399fb85), X(0x75234ce8), X(0x33c7f785), X(0x750f0054),
419 X(0x33f5eb89), X(0x74faa1b3), X(0x3423d78a), X(0x74e63108),
420 X(0x3451bb81), X(0x74d1ae55), X(0x347f9766), X(0x74bd199f),
421 X(0x34ad6b32), X(0x74a872e8), X(0x34db36df), X(0x7493ba34),
422 X(0x3508fa66), X(0x747eef85), X(0x3536b5be), X(0x746a12df),
423 X(0x356468e2), X(0x74552446), X(0x359213c9), X(0x744023bc),
424 X(0x35bfb66e), X(0x742b1144), X(0x35ed50c9), X(0x7415ece2),
425 X(0x361ae2d3), X(0x7400b69a), X(0x36486c86), X(0x73eb6e6e),
426 X(0x3675edd9), X(0x73d61461), X(0x36a366c6), X(0x73c0a878),
427 X(0x36d0d746), X(0x73ab2ab4), X(0x36fe3f52), X(0x73959b1b),
428 X(0x372b9ee3), X(0x737ff9ae), X(0x3758f5f2), X(0x736a4671),
429 X(0x37864477), X(0x73548168), X(0x37b38a6d), X(0x733eaa96),
430 X(0x37e0c7cc), X(0x7328c1ff), X(0x380dfc8d), X(0x7312c7a5),
431 X(0x383b28a9), X(0x72fcbb8c), X(0x38684c19), X(0x72e69db7),
432 X(0x389566d6), X(0x72d06e2b), X(0x38c278d9), X(0x72ba2cea),
433 X(0x38ef821c), X(0x72a3d9f7), X(0x391c8297), X(0x728d7557),
434 X(0x39497a43), X(0x7276ff0d), X(0x39766919), X(0x7260771b),
435 X(0x39a34f13), X(0x7249dd86), X(0x39d02c2a), X(0x72333251),
436 X(0x39fd0056), X(0x721c7580), X(0x3a29cb91), X(0x7205a716),
437 X(0x3a568dd4), X(0x71eec716), X(0x3a834717), X(0x71d7d585),
438 X(0x3aaff755), X(0x71c0d265), X(0x3adc9e86), X(0x71a9bdba),
439 X(0x3b093ca3), X(0x71929789), X(0x3b35d1a5), X(0x717b5fd3),
440 X(0x3b625d86), X(0x7164169d), X(0x3b8ee03e), X(0x714cbbeb),
441 X(0x3bbb59c7), X(0x71354fc0), X(0x3be7ca1a), X(0x711dd220),
442 X(0x3c143130), X(0x7106430e), X(0x3c408f03), X(0x70eea28e),
443 X(0x3c6ce38a), X(0x70d6f0a4), X(0x3c992ec0), X(0x70bf2d53),
444 X(0x3cc5709e), X(0x70a7589f), X(0x3cf1a91c), X(0x708f728b),
445 X(0x3d1dd835), X(0x70777b1c), X(0x3d49fde1), X(0x705f7255),
446 X(0x3d761a19), X(0x70475839), X(0x3da22cd7), X(0x702f2ccd),
447 X(0x3dce3614), X(0x7016f014), X(0x3dfa35c8), X(0x6ffea212),
448 X(0x3e262bee), X(0x6fe642ca), X(0x3e52187f), X(0x6fcdd241),
449 X(0x3e7dfb73), X(0x6fb5507a), X(0x3ea9d4c3), X(0x6f9cbd79),
450 X(0x3ed5a46b), X(0x6f841942), X(0x3f016a61), X(0x6f6b63d8),
451 X(0x3f2d26a0), X(0x6f529d40), X(0x3f58d921), X(0x6f39c57d),
452 X(0x3f8481dd), X(0x6f20dc92), X(0x3fb020ce), X(0x6f07e285),
453 X(0x3fdbb5ec), X(0x6eeed758), X(0x40074132), X(0x6ed5bb10),
454 X(0x4032c297), X(0x6ebc8db0), X(0x405e3a16), X(0x6ea34f3d),
455 X(0x4089a7a8), X(0x6e89ffb9), X(0x40b50b46), X(0x6e709f2a),
456 X(0x40e064ea), X(0x6e572d93), X(0x410bb48c), X(0x6e3daaf8),
457 X(0x4136fa27), X(0x6e24175c), X(0x416235b2), X(0x6e0a72c5),
458 X(0x418d6729), X(0x6df0bd35), X(0x41b88e84), X(0x6dd6f6b1),
459 X(0x41e3abbc), X(0x6dbd1f3c), X(0x420ebecb), X(0x6da336dc),
460 X(0x4239c7aa), X(0x6d893d93), X(0x4264c653), X(0x6d6f3365),
461 X(0x428fbabe), X(0x6d551858), X(0x42baa4e6), X(0x6d3aec6e),
462 X(0x42e584c3), X(0x6d20afac), X(0x43105a50), X(0x6d066215),
463 X(0x433b2585), X(0x6cec03af), X(0x4365e65b), X(0x6cd1947c),
464 X(0x43909ccd), X(0x6cb71482), X(0x43bb48d4), X(0x6c9c83c3),
465 X(0x43e5ea68), X(0x6c81e245), X(0x44108184), X(0x6c67300b),
466 X(0x443b0e21), X(0x6c4c6d1a), X(0x44659039), X(0x6c319975),
467 X(0x449007c4), X(0x6c16b521), X(0x44ba74bd), X(0x6bfbc021),
468 X(0x44e4d71c), X(0x6be0ba7b), X(0x450f2edb), X(0x6bc5a431),
469 X(0x45397bf4), X(0x6baa7d49), X(0x4563be60), X(0x6b8f45c7),
470 X(0x458df619), X(0x6b73fdae), X(0x45b82318), X(0x6b58a503),
471 X(0x45e24556), X(0x6b3d3bcb), X(0x460c5cce), X(0x6b21c208),
472 X(0x46366978), X(0x6b0637c1), X(0x46606b4e), X(0x6aea9cf8),
473 X(0x468a624a), X(0x6acef1b2), X(0x46b44e65), X(0x6ab335f4),
474 X(0x46de2f99), X(0x6a9769c1), X(0x470805df), X(0x6a7b8d1e),
475 X(0x4731d131), X(0x6a5fa010), X(0x475b9188), X(0x6a43a29a),
476 X(0x478546de), X(0x6a2794c1), X(0x47aef12c), X(0x6a0b7689),
477 X(0x47d8906d), X(0x69ef47f6), X(0x48022499), X(0x69d3090e),
478 X(0x482badab), X(0x69b6b9d3), X(0x48552b9b), X(0x699a5a4c),
479 X(0x487e9e64), X(0x697dea7b), X(0x48a805ff), X(0x69616a65),
480 X(0x48d16265), X(0x6944da10), X(0x48fab391), X(0x6928397e),
481 X(0x4923f97b), X(0x690b88b5), X(0x494d341e), X(0x68eec7b9),
482 X(0x49766373), X(0x68d1f68f), X(0x499f8774), X(0x68b5153a),
483 X(0x49c8a01b), X(0x689823bf), X(0x49f1ad61), X(0x687b2224),
484 X(0x4a1aaf3f), X(0x685e106c), X(0x4a43a5b0), X(0x6840ee9b),
485 X(0x4a6c90ad), X(0x6823bcb7), X(0x4a957030), X(0x68067ac3),
486 X(0x4abe4433), X(0x67e928c5), X(0x4ae70caf), X(0x67cbc6c0),
487 X(0x4b0fc99d), X(0x67ae54ba), X(0x4b387af9), X(0x6790d2b6),
488 X(0x4b6120bb), X(0x677340ba), X(0x4b89badd), X(0x67559eca),
489 X(0x4bb24958), X(0x6737ecea), X(0x4bdacc28), X(0x671a2b20),
490 X(0x4c034345), X(0x66fc596f), X(0x4c2baea9), X(0x66de77dc),
491 X(0x4c540e4e), X(0x66c0866d), X(0x4c7c622d), X(0x66a28524),
492 X(0x4ca4aa41), X(0x66847408), X(0x4ccce684), X(0x6666531d),
493 X(0x4cf516ee), X(0x66482267), X(0x4d1d3b7a), X(0x6629e1ec),
494 X(0x4d455422), X(0x660b91af), X(0x4d6d60df), X(0x65ed31b5),
495 X(0x4d9561ac), X(0x65cec204), X(0x4dbd5682), X(0x65b0429f),
496 X(0x4de53f5a), X(0x6591b38c), X(0x4e0d1c30), X(0x657314cf),
497 X(0x4e34ecfc), X(0x6554666d), X(0x4e5cb1b9), X(0x6535a86b),
498 X(0x4e846a60), X(0x6516dacd), X(0x4eac16eb), X(0x64f7fd98),
499 X(0x4ed3b755), X(0x64d910d1), X(0x4efb4b96), X(0x64ba147d),
500 X(0x4f22d3aa), X(0x649b08a0), X(0x4f4a4f89), X(0x647bed3f),
501 X(0x4f71bf2e), X(0x645cc260), X(0x4f992293), X(0x643d8806),
502 X(0x4fc079b1), X(0x641e3e38), X(0x4fe7c483), X(0x63fee4f8),
503 X(0x500f0302), X(0x63df7c4d), X(0x50363529), X(0x63c0043b),
504 X(0x505d5af1), X(0x63a07cc7), X(0x50847454), X(0x6380e5f6),
505 X(0x50ab814d), X(0x63613fcd), X(0x50d281d5), X(0x63418a50),
506 X(0x50f975e6), X(0x6321c585), X(0x51205d7b), X(0x6301f171),
507 X(0x5147388c), X(0x62e20e17), X(0x516e0715), X(0x62c21b7e),
508 X(0x5194c910), X(0x62a219aa), X(0x51bb7e75), X(0x628208a1),
509 X(0x51e22740), X(0x6261e866), X(0x5208c36a), X(0x6241b8ff),
510 X(0x522f52ee), X(0x62217a72), X(0x5255d5c5), X(0x62012cc2),
511 X(0x527c4bea), X(0x61e0cff5), X(0x52a2b556), X(0x61c06410),
512 X(0x52c91204), X(0x619fe918), X(0x52ef61ee), X(0x617f5f12),
513 X(0x5315a50e), X(0x615ec603), X(0x533bdb5d), X(0x613e1df0),
514 X(0x536204d7), X(0x611d66de), X(0x53882175), X(0x60fca0d2),
515 X(0x53ae3131), X(0x60dbcbd1), X(0x53d43406), X(0x60bae7e1),
516 X(0x53fa29ed), X(0x6099f505), X(0x542012e1), X(0x6078f344),
517 X(0x5445eedb), X(0x6057e2a2), X(0x546bbdd7), X(0x6036c325),
518 X(0x54917fce), X(0x601594d1), X(0x54b734ba), X(0x5ff457ad),
519 X(0x54dcdc96), X(0x5fd30bbc), X(0x5502775c), X(0x5fb1b104),
520 X(0x55280505), X(0x5f90478a), X(0x554d858d), X(0x5f6ecf53),
521 X(0x5572f8ed), X(0x5f4d4865), X(0x55985f20), X(0x5f2bb2c5),
522 X(0x55bdb81f), X(0x5f0a0e77), X(0x55e303e6), X(0x5ee85b82),
523 X(0x5608426e), X(0x5ec699e9), X(0x562d73b2), X(0x5ea4c9b3),
524 X(0x565297ab), X(0x5e82eae5), X(0x5677ae54), X(0x5e60fd84),
525 X(0x569cb7a8), X(0x5e3f0194), X(0x56c1b3a1), X(0x5e1cf71c),
526 X(0x56e6a239), X(0x5dfade20), X(0x570b8369), X(0x5dd8b6a7),
527 X(0x5730572e), X(0x5db680b4), X(0x57551d80), X(0x5d943c4e),
528 X(0x5779d65b), X(0x5d71e979), X(0x579e81b8), X(0x5d4f883b),
529 X(0x57c31f92), X(0x5d2d189a), X(0x57e7afe4), X(0x5d0a9a9a),
530 X(0x580c32a7), X(0x5ce80e41), X(0x5830a7d6), X(0x5cc57394),
531 X(0x58550f6c), X(0x5ca2ca99), X(0x58796962), X(0x5c801354),
532 X(0x589db5b3), X(0x5c5d4dcc), X(0x58c1f45b), X(0x5c3a7a05),
533 X(0x58e62552), X(0x5c179806), X(0x590a4893), X(0x5bf4a7d2),
534 X(0x592e5e19), X(0x5bd1a971), X(0x595265df), X(0x5bae9ce7),
535 X(0x59765fde), X(0x5b8b8239), X(0x599a4c12), X(0x5b68596d),
536 X(0x59be2a74), X(0x5b452288), X(0x59e1faff), X(0x5b21dd90),
537 X(0x5a05bdae), X(0x5afe8a8b), X(0x5a29727b), X(0x5adb297d),
538 X(0x5a4d1960), X(0x5ab7ba6c), X(0x5a70b258), X(0x5a943d5e),
539};
540