From 48544f070b2319a5131f25c78516fbb9a1b2a123 Mon Sep 17 00:00:00 2001 From: Michael Sevakis Date: Wed, 29 Oct 2008 10:26:46 +0000 Subject: Move kernel tick initialization and handling to the target tree for ones remaining in kernel.c git-svn-id: svn://svn.rockbox.org/rockbox/trunk@18919 a1c6a512-1295-4272-9138-f99709370657 --- firmware/SOURCES | 4 + firmware/kernel.c | 147 +-------------------------- firmware/target/arm/kernel-pp.c | 56 ++++++++++ firmware/target/arm/pnx0101/kernel-pnx0101.c | 45 ++++++++ firmware/target/coldfire/kernel-coldfire.c | 64 ++++++++++++ firmware/target/sh/kernel-sh.c | 65 ++++++++++++ 6 files changed, 237 insertions(+), 144 deletions(-) create mode 100644 firmware/target/arm/kernel-pp.c create mode 100644 firmware/target/arm/pnx0101/kernel-pnx0101.c create mode 100644 firmware/target/coldfire/kernel-coldfire.c create mode 100644 firmware/target/sh/kernel-sh.c diff --git a/firmware/SOURCES b/firmware/SOURCES index f2f8526494..53b33406b5 100644 --- a/firmware/SOURCES +++ b/firmware/SOURCES @@ -267,6 +267,7 @@ drivers/i2c.c target/sh/adc-sh.c target/sh/bitswap.S target/sh/crt0.S +target/sh/kernel-sh.c target/sh/memcpy-sh.S target/sh/memmove-sh.S target/sh/memset-sh.S @@ -291,6 +292,7 @@ target/coldfire/strlen-coldfire.S || defined(HAVE_REMOTE_LCD) && (LCD_REMOTE_PIXELFORMAT == VERTICAL_INTERLEAVED) target/coldfire/memset16-coldfire.S #endif +target/coldfire/kernel-coldfire.c target/coldfire/system-coldfire.c #ifndef BOOTLOADER target/coldfire/pcm-coldfire.c @@ -322,6 +324,7 @@ target/arm/i2c-telechips.c #endif #if CONFIG_CPU == PNX0101 +target/arm/pnx0101/kernel-pnx0101.c target/arm/pnx0101/system-pnx0101.c #endif @@ -330,6 +333,7 @@ target/arm/as3525/system-as3525.c #endif #if defined(CPU_PP) +target/arm/kernel-pp.c #if CONFIG_CPU == PP5002 target/arm/system-pp5002.c #elif defined CPU_PP502x diff --git a/firmware/kernel.c b/firmware/kernel.c index 70b3e03615..dc960b6e51 100644 --- a/firmware/kernel.c +++ b/firmware/kernel.c @@ -7,7 +7,7 @@ * \/ \/ \/ \/ \/ * $Id$ * - * Copyright (C) 2002 by Björn Stenberg + * Copyright (C) 2002 by Björn Stenberg * * This program is free software; you can redistribute it and/or * modify it under the terms of the GNU General Public License @@ -92,150 +92,9 @@ void kernel_init(void) } /**************************************************************************** - * Timer tick + * Timer tick - Timer initialization and interrupt handler is defined at + * the target level. ****************************************************************************/ -#if CONFIG_CPU == SH7034 -void tick_start(unsigned int interval_in_ms) -{ - unsigned long count; - - count = CPU_FREQ * interval_in_ms / 1000 / 8; - - if(count > 0x10000) - { - panicf("Error! The tick interval is too long (%d ms)\n", - interval_in_ms); - return; - } - - /* We are using timer 0 */ - - TSTR &= ~0x01; /* Stop the timer */ - TSNC &= ~0x01; /* No synchronization */ - TMDR &= ~0x01; /* Operate normally */ - - TCNT0 = 0; /* Start counting at 0 */ - GRA0 = (unsigned short)(count - 1); - TCR0 = 0x23; /* Clear at GRA match, sysclock/8 */ - - /* Enable interrupt on level 1 */ - IPRC = (IPRC & ~0x00f0) | 0x0010; - - TSR0 &= ~0x01; - TIER0 = 0xf9; /* Enable GRA match interrupt */ - - TSTR |= 0x01; /* Start timer 1 */ -} - -void IMIA0(void) __attribute__ ((interrupt_handler)); -void IMIA0(void) -{ - /* Run through the list of tick tasks */ - call_tick_tasks(); - - TSR0 &= ~0x01; -} -#elif defined(CPU_COLDFIRE) -void tick_start(unsigned int interval_in_ms) -{ - unsigned long count; - int prescale; - - count = CPU_FREQ/2 * interval_in_ms / 1000 / 16; - - if(count > 0x10000) - { - panicf("Error! The tick interval is too long (%d ms)\n", - interval_in_ms); - return; - } - - prescale = cpu_frequency / CPU_FREQ; - /* Note: The prescaler is later adjusted on-the-fly on CPU frequency - changes within timer.c */ - - /* We are using timer 0 */ - - TRR0 = (unsigned short)(count - 1); /* The reference count */ - TCN0 = 0; /* reset the timer */ - TMR0 = 0x001d | ((unsigned short)(prescale - 1) << 8); - /* restart, CLK/16, enabled, prescaler */ - - TER0 = 0xff; /* Clear all events */ - - ICR1 = 0x8c; /* Interrupt on level 3.0 */ - IMR &= ~0x200; -} - -void TIMER0(void) __attribute__ ((interrupt_handler)); -void TIMER0(void) -{ - /* Run through the list of tick tasks */ - call_tick_tasks(); - - TER0 = 0xff; /* Clear all events */ -} - -#elif defined(CPU_PP) - -#ifndef BOOTLOADER -void TIMER1(void) -{ - /* Run through the list of tick tasks (using main core) */ - TIMER1_VAL; /* Read value to ack IRQ */ - - /* Run through the list of tick tasks using main CPU core - - wake up the COP through its control interface to provide pulse */ - call_tick_tasks(); - -#if NUM_CORES > 1 - /* Pulse the COP */ - core_wake(COP); -#endif /* NUM_CORES */ -} -#endif - -/* Must be last function called init kernel/thread initialization */ -void tick_start(unsigned int interval_in_ms) -{ -#ifndef BOOTLOADER - TIMER1_CFG = 0x0; - TIMER1_VAL; - /* enable timer */ - TIMER1_CFG = 0xc0000000 | (interval_in_ms*1000 - 1); - /* unmask interrupt source */ - CPU_INT_EN = TIMER1_MASK; -#else - /* We don't enable interrupts in the bootloader */ - (void)interval_in_ms; -#endif -} - -#elif CONFIG_CPU == PNX0101 - -void timer_handler(void) -{ - /* Run through the list of tick tasks */ - call_tick_tasks(); - - TIMER0.clr = 0; -} - -void tick_start(unsigned int interval_in_ms) -{ - TIMER0.ctrl &= ~0x80; /* Disable the counter */ - TIMER0.ctrl |= 0x40; /* Reload after counting down to zero */ - TIMER0.load = 3000000 * interval_in_ms / 1000; - TIMER0.ctrl &= ~0xc; /* No prescaler */ - TIMER0.clr = 1; /* Clear the interrupt request */ - - irq_set_int_handler(IRQ_TIMER0, timer_handler); - irq_enable_int(IRQ_TIMER0); - - TIMER0.ctrl |= 0x80; /* Enable the counter */ -} -#endif - int tick_add_task(void (*f)(void)) { int oldlevel = disable_irq_save(); diff --git a/firmware/target/arm/kernel-pp.c b/firmware/target/arm/kernel-pp.c new file mode 100644 index 0000000000..b9195844ba --- /dev/null +++ b/firmware/target/arm/kernel-pp.c @@ -0,0 +1,56 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2002 by Björn Stenberg + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ +#include "config.h" +#include "system.h" +#include "kernel.h" + +#ifndef BOOTLOADER +void TIMER1(void) +{ + /* Run through the list of tick tasks (using main core) */ + TIMER1_VAL; /* Read value to ack IRQ */ + + /* Run through the list of tick tasks using main CPU core - + wake up the COP through its control interface to provide pulse */ + call_tick_tasks(); + +#if NUM_CORES > 1 + /* Pulse the COP */ + core_wake(COP); +#endif /* NUM_CORES */ +} +#endif + +/* Must be last function called init kernel/thread initialization */ +void tick_start(unsigned int interval_in_ms) +{ +#ifndef BOOTLOADER + TIMER1_CFG = 0x0; + TIMER1_VAL; + /* enable timer */ + TIMER1_CFG = 0xc0000000 | (interval_in_ms*1000 - 1); + /* unmask interrupt source */ + CPU_INT_EN = TIMER1_MASK; +#else + /* We don't enable interrupts in the bootloader */ + (void)interval_in_ms; +#endif +} diff --git a/firmware/target/arm/pnx0101/kernel-pnx0101.c b/firmware/target/arm/pnx0101/kernel-pnx0101.c new file mode 100644 index 0000000000..db0f1b0d7f --- /dev/null +++ b/firmware/target/arm/pnx0101/kernel-pnx0101.c @@ -0,0 +1,45 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2007 by Tomasz Malesinski + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ +#include "config.h" +#include "system.h" +#include "kernel.h" + +void timer_handler(void) +{ + /* Run through the list of tick tasks */ + call_tick_tasks(); + + TIMER0.clr = 0; +} + +void tick_start(unsigned int interval_in_ms) +{ + TIMER0.ctrl &= ~0x80; /* Disable the counter */ + TIMER0.ctrl |= 0x40; /* Reload after counting down to zero */ + TIMER0.load = 3000000 * interval_in_ms / 1000; + TIMER0.ctrl &= ~0xc; /* No prescaler */ + TIMER0.clr = 1; /* Clear the interrupt request */ + + irq_set_int_handler(IRQ_TIMER0, timer_handler); + irq_enable_int(IRQ_TIMER0); + + TIMER0.ctrl |= 0x80; /* Enable the counter */ +} diff --git a/firmware/target/coldfire/kernel-coldfire.c b/firmware/target/coldfire/kernel-coldfire.c new file mode 100644 index 0000000000..093736ee91 --- /dev/null +++ b/firmware/target/coldfire/kernel-coldfire.c @@ -0,0 +1,64 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2002 by Björn Stenberg + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ +#include "config.h" +#include "system.h" +#include "kernel.h" +#include "panic.h" + +void tick_start(unsigned int interval_in_ms) +{ + unsigned long count; + int prescale; + + count = CPU_FREQ/2 * interval_in_ms / 1000 / 16; + + if(count > 0x10000) + { + panicf("Error! The tick interval is too long (%d ms)\n", + interval_in_ms); + return; + } + + prescale = cpu_frequency / CPU_FREQ; + /* Note: The prescaler is later adjusted on-the-fly on CPU frequency + changes within timer.c */ + + /* We are using timer 0 */ + + TRR0 = (unsigned short)(count - 1); /* The reference count */ + TCN0 = 0; /* reset the timer */ + TMR0 = 0x001d | ((unsigned short)(prescale - 1) << 8); + /* restart, CLK/16, enabled, prescaler */ + + TER0 = 0xff; /* Clear all events */ + + ICR1 = 0x8c; /* Interrupt on level 3.0 */ + IMR &= ~0x200; +} + +void TIMER0(void) __attribute__ ((interrupt_handler)); +void TIMER0(void) +{ + /* Run through the list of tick tasks */ + call_tick_tasks(); + + TER0 = 0xff; /* Clear all events */ +} diff --git a/firmware/target/sh/kernel-sh.c b/firmware/target/sh/kernel-sh.c new file mode 100644 index 0000000000..905b272fce --- /dev/null +++ b/firmware/target/sh/kernel-sh.c @@ -0,0 +1,65 @@ +/*************************************************************************** + * __________ __ ___. + * Open \______ \ ____ ____ | | _\_ |__ _______ ___ + * Source | _// _ \_/ ___\| |/ /| __ \ / _ \ \/ / + * Jukebox | | ( <_> ) \___| < | \_\ ( <_> > < < + * Firmware |____|_ /\____/ \___ >__|_ \|___ /\____/__/\_ \ + * \/ \/ \/ \/ \/ + * $Id$ + * + * Copyright (C) 2002 by Björn Stenberg + * + * This program is free software; you can redistribute it and/or + * modify it under the terms of the GNU General Public License + * as published by the Free Software Foundation; either version 2 + * of the License, or (at your option) any later version. + * + * This software is distributed on an "AS IS" basis, WITHOUT WARRANTY OF ANY + * KIND, either express or implied. + * + ****************************************************************************/ +#include "config.h" +#include "system.h" +#include "kernel.h" +#include "panic.h" + +void tick_start(unsigned int interval_in_ms) +{ + unsigned long count; + + count = CPU_FREQ * interval_in_ms / 1000 / 8; + + if(count > 0x10000) + { + panicf("Error! The tick interval is too long (%d ms)\n", + interval_in_ms); + return; + } + + /* We are using timer 0 */ + + TSTR &= ~0x01; /* Stop the timer */ + TSNC &= ~0x01; /* No synchronization */ + TMDR &= ~0x01; /* Operate normally */ + + TCNT0 = 0; /* Start counting at 0 */ + GRA0 = (unsigned short)(count - 1); + TCR0 = 0x23; /* Clear at GRA match, sysclock/8 */ + + /* Enable interrupt on level 1 */ + IPRC = (IPRC & ~0x00f0) | 0x0010; + + TSR0 &= ~0x01; + TIER0 = 0xf9; /* Enable GRA match interrupt */ + + TSTR |= 0x01; /* Start timer 1 */ +} + +void IMIA0(void) __attribute__ ((interrupt_handler)); +void IMIA0(void) +{ + /* Run through the list of tick tasks */ + call_tick_tasks(); + + TSR0 &= ~0x01; +} -- cgit v1.2.3