summaryrefslogtreecommitdiff
path: root/bootloader/bootloader.make
diff options
context:
space:
mode:
Diffstat (limited to 'bootloader/bootloader.make')
-rw-r--r--bootloader/bootloader.make4
1 files changed, 0 insertions, 4 deletions
diff --git a/bootloader/bootloader.make b/bootloader/bootloader.make
index d1f580a52e..75ad082f84 100644
--- a/bootloader/bootloader.make
+++ b/bootloader/bootloader.make
@@ -32,9 +32,5 @@ $(BUILDDIR)/bootloader.elf: $$(OBJ) $(FIRMLIB) $(CORE_LIBS) $$(BOOTLINK)
32$(BUILDDIR)/bootloader.bin : $(BUILDDIR)/bootloader.elf 32$(BUILDDIR)/bootloader.bin : $(BUILDDIR)/bootloader.elf
33 $(call PRINTS,OC $(@F))$(call objcopy,$<,$@) 33 $(call PRINTS,OC $(@F))$(call objcopy,$<,$@)
34 34
35$(BUILDDIR)/bootloader.asm: $(BUILDDIR)/bootloader.bin
36 $(TOOLSDIR)/sh2d -sh1 $< > $@
37
38$(BUILDDIR)/$(BINARY) : $(BUILDDIR)/bootloader.bin 35$(BUILDDIR)/$(BINARY) : $(BUILDDIR)/bootloader.bin
39 $(call PRINTS,Build bootloader file)$(MKFIRMWARE) $< $@ 36 $(call PRINTS,Build bootloader file)$(MKFIRMWARE) $< $@
40